( DAC'19 Item 8a ) ------------------------------------------------ [04/14/20]
Subject: Empyrean XTop fills PrimeTime ECO hole is Best of EDA 2019 #8a
FEELING THE PAIN: We've all been there. Your post-layout timing report says
96% of your nets are all good -- but that pain-in-the-ass last 4% screams at
you that TIMING CLOSURE NOT MET! So close ... but it can still take you
weeks for that last step to timing closure.
EMPYREAN STEPS IN: Users spoke up about how the Empyrean XTop "physically
aware multi-threading timing ECO tool" fills in that hole left in PnR flows
that involve Synopsys PrimeTime ...
... namely by using XTop for fixing setup, hold, max transistor/capacitance
and signal integrity violations, for these two mainstream PrimeTime flows:
Synopsys timing ECO = PrimeTime + Empyrean XTop + ICC/ICC2/Fusion
Cadence timing ECO = PrimeTime + Empyrean XTop + Innovus
---- ---- ---- ---- ---- ---- ----
LOVE HARD DATA: I love when the users come through with boatloads of hard
data to back up their views.
1. Empyrean XTop TAT
"I estimate it is 3X to 4X faster for us to meet our timing
using XTop versus only using PrimeTime and ICC2."
"XTop handled 150M+ instances, with 100 K violations. We ran
64 threads on our design flat with 150 M+ instances, and it
took about 20 hours."
2. Empyrean XTop MMMC
"XTop handled more than 300+ MMMC scenarios in one session for
timing fixing on our signal and clock paths.
"We've used it for a 70 million instance design at 12nm. We've
run 80 simultaneous MMMC scenarios. We use its multi-threading
with 64 cores. It took 4 to 5 hours to run."
2. Empyrean XTop PPA
"... in one design XTop improved our timing by 96%!; and our
power by about 8% from our initial Innovus PnR run."
---- ---- ---- ---- ---- ---- ----
---- ---- ---- ---- ---- ---- ----
---- ---- ---- ---- ---- ---- ----
QUESTION ASKED:
Q: "What were the 3 or 4 most INTERESTING specific EDA tools
you've seen this year? WHY did they interest you?"
---- ---- ---- ---- ---- ---- ----
Empyrean XTop
We use it to do timing ECOs. It's a very fast way to last minute fix
the timing closure in our Synopsys ICC2 PnR flow. I've used XTop to
fix setup, hold, max transistor/capacitance and signal integrity
violations in IC Compiler 2.
Based on my experience, XTop:
- Fixed all the violations accurately.
- Found a proper solution to fix the violations without
breaking any constraints. If it was unable to fix the
violation, it displayed a detailed reason to help us
debug or modify our original design.
Performance = 1.5x to 2x faster than using PT/ICC2 alone
- Our design was 30+ million instances
- XTop's total runtime for our large-number violation fixing
flow was only 3 to 4 hours
- This was about 1.5x to 2x faster than using Synopsys PnR
flow without XTop.
XTop fixing flow and variable configuration was easy for our
engineers to learn and use. To get started, all we needed were:
- Correct library configuration
- Design configuration
- Timing constraints
XTop is a useful tool that is worth recommending. It helped us get
faster timing closure with a good runtime and fewer iterations. The
steps to build the fixing flow were simple.
---- ---- ---- ---- ---- ---- ----
EMPYREAN XTop TIMING ECO
Empyrean XTop is a physically aware multi-threading timing ECO tool.
We've used it for a 70 million instance design at 12nm. We've run 80
simultaneous MMMC scenarios.
It works smoothly and is really fast. It also correlates well with our
Synopsys ICC2 and Primetime timing sign-off.
Our experience is that fixing 50K violations was good for XTop. We've
used it for the following:
- Fixing setup and hold, max transition/capacitance, and SI
violations
- Timing debug and interactive manual ECO
- Multi-power/voltage domains
It improved our PPA at the ECO stage, inserting fewer buffers than ICC2.
We use its multi-threading with 64 cores. A 70 million instance design
it took 4 to 5 hours to run.
I really recommend XTop. It's an efficient tool and its simple to use
in our flow with PrimeTime.
I estimate it is 3X to 4X faster for us to meet our timing using XTop
versus only using PrimeTime and ICC2.
---- ---- ---- ---- ---- ---- ----
We use Empyrean XTop for to help us quickly analyze and fixing timing.
It's easy for us to use in our flow with Synopsys Primetime and ICC2,
because XTop's input and output data are compatible with PrimeTime and
ICC2.
We ran XTop on our 16 nm design.
- XTop handled 150+ M instances, with 100 K violations.
- We ran more than 110 simultaneous MMMC scenarios in one
session.
- We ran 64 threads on the flat design with 150M+ instances, and
it took about 20 hours.
- XTop's turnaround time is 3X faster than Empyrean's prior tool
was (IC Explorer).
Other details:
- XTop is "placement- & routing- aware", so it correlates with
ICC2 and PrimeTime.
- It was very good for fixing setup/hold/transition/capacitance,
but not so good for fixing SI issues.
- Its interactive debug timing debug feature is efficient for us.
I recommend XTop. It can also help us to analyze/preview our critical
timing paths before we run timing ECO.
---- ---- ---- ---- ---- ---- ----
Empyrean XTop is the only tool we used for our timing ECO. We use it
with Synopsys PrimeTime and Cadence Innovus.
XTop is very simple and friendly to use -- so a new designer can learn
the flow in only 2 days.
- We provide it with a DEF file and the path timing slacks.
(XTop accepts standard timing path slacks)
- XTop then provides different solutions to repair the timing
slack so that we get better setup and hold and power from our
designs. It outputs a script that can be used by our PnR tools
(usually Innovus).
We use XTop's fixing capabilities for
- Setup and hold
- Max transition
- Signal integrity violations
- Multi-power/voltage domains
- Setup and power repair typically take 1-2 iterations with
XTop. Hold repair usually need 3-4 iterations with it.
- It has helped us to able to improve our timing -- in one
design XTop improved our timing by 96%! -- and our power by
about 8% from our initial Innovus PnR run.
We've run it on a 16nm design, with more than 500 million instances.
- XTop's typical speed for the timing ECO was one day.
- XTop handled more than 300+ MMMC scenarios in one session for
timing fixing on our signal and clock paths.
- The runtime will depend on the design. We generally use
32 threads. (If you have many MMMC corners, you'd better use
more threads.)
In one case XTop was able to automatically solve 96% of our timing slack
paths. It can't be replaced by any other tool for us!
---- ---- ---- ---- ---- ---- ----
We use Empyrean XTop with Synopsys PrimeTime for ECO timing sign-off.
Our recent project where we used XTop was a 40+ M inst design at a
12nm process node.
We used XTop for:
- Setup and hold timing fixes on functional scan shift and
capture modes -- each mode had 26 signoff corners
- Power-aware timing ECOs
XTop cut our timing ECO turnaround time by 2-3X
- PrimeTime-ECO (PT-ECO) without XTop, our timing ECO took 3.0 weeks
- with XTop we reduced our timing ECO time took 1.0 - 1.5 weeks
We have not used XTop's multi-threading yet. However, we do run our
timing ECOs with a hierarchical flow (not flat), which helps with the
runtime.
XTop's timing results were 25% better.
- We were able to get a 25% savings for hold timing fixes
with XTop compared to PT-ECO
- We were able run 100 simultaneous MMMC scenarios in
one XTop session.
XTop's correlation with Synopsys Primetime is good overall, except for
a small setup break violations due to signal integrity issues. (We'd
like to see XTop improve their crosstalk values.)
Overall, XTop saved us time and got us better results.
---- ---- ---- ---- ---- ---- ----
We use Empyrean XTop for timing ECOs with Synopsys PrimeTime and we add
some Tcl scripts to make the flow more convenient.
- It reduces timing violations effectively when there are too many
violations at the beginning of signoff.
- It reduces our power use and design rule violations.
- It can greatly reduce the timing violations after a few
iterations.
- Its correlation with Innovus and PrimeTime is good.
XTop's inputs and outputs for our flow are:
- Inputs: netlist/DEF/lib/LEF/timing violation rpts and
some personal sets
- Output: Tcl scripts compatible with Innovus and PrimeTime.
Usually we use XTop's scripts for Innovus, to do the needed timing ECO
actions to fix timing
XTop Performance & Capacity
- Our design had 50 million instances, with 40+ K critical paths
- XTop's fix violations were very fast. It only took about 30
minutes to write out each script.
- Multi-thread was set on 32. (The thread number should set based
on the timing views number)
- I had 70+ MMMC scenarios running in my session, and it did not
impact XTop's runtime. (The number of MMMC scenarios varies
with the design.)
Feedback on the tool:
- Setup/Hold/Max trans/Cap/Signal Integrity: Usually max
trans/cap violations need to be fixed *first* before fixing
setup/hold.
- Timing debug/Manual ECO: when there are some hard timing path
violations, using XTop's GUI interface for timing debug and
manual ECO are good.
- Multi-power domain support: My design has multiple power
domains. XTop supports this and reads Innovus' PD file.
The smallest process node I've used XTop on is 12nm. We were able to
reduce our timing violations and leakage power without increasing the
area significantly.
I recommend XTop to fix timing especially when you don't have much time
to do it. It is effective and practical.
---- ---- ---- ---- ---- ---- ----
Empyrean XTop is an efficient timing ECO tool.
- We use it with Cadence Innovus, Synopsys ICC2, and Primetime.
- XTop can handle 100+ M instances.
- I've used its simultaneous MMMC timing fixing on signal and
clock paths and have run about 100 scenarios in one session.
- It's 2X as efficient as their prior IC Explorer tool.
XTop is good for fixing setup, hold and maximum transition/capacitance;
it correlates pretty well with ICC2 and sign-off timing. However,
XTop could not fix our ICC2 signal integrity noise violations.
Empyrean XTop generates scripts in standard Tcl format that can be used
with PrimeTime.
I recommend XTop -- it even supports the N5 process node.
---- ---- ---- ---- ---- ---- ----
Related Articles
Empyrean ALPS GPU crushing Cadence Spectre-APS is Best of 2019 #2c
Empyrean Skipper chip finishing wierdly takes #10 "Best of 2018"
Jason Xing unhappy his ICScape ALPS SPICE was forgotten last year
User finds Tempus-TSO fixes PrimeTime/Encounter timing ECO churn
Join
Index
Next->Item
|
|