( SNUG 05 Item 10 ) ---------------------------------------------- [12/20/05]

Subject: Synopsys DFT Compiler/TetraMAX vs. Mentor DFT Advisor/FastScan

ALONG FOR THE RIDE -- For the longest time the conventional wisdom has been
that Synopsys DFT Compiler owned scan insertion and their TetraMAX tied with
Mentor in the ATPG game.  That's not what I'm seeing in use.

         Synopsys DFT Compiler:  ########################### 68%
            Mentor DFT Advisor:  ############# 32%

             Synopsys TetraMAX:  ####################### 57%
               Mentor FastScan:  ################# 43%

And Cadence Test Encounter came in at 4%, but that's not a fair number since
I forgot to mention them by name in the question.

Scan compression seemed to be mostly a speciality tool most don't use.

                     don't use:  ############################### 78%

           Mentor TestKompress:  ####### 17%
     Synopsys DFT Compiler MAX:  ## 5%

Don't ask about BIST numbers this year, either.  I forgot to ask about them.

It was interesting to see the fully committed Magma users torn between using
a Synopsys or Mentor scan insertion & ATPG flow.  That is, Magma users aren't
using the Blast DFT test tools.  I couldn't find one!

         ----    ----    ----    ----    ----    ----   ----

  We're Magma users.  We still use TetraMAX.

      - Dinesh Venkatachalam of Legend Silicon


  We use TetraMAX, but I would like to discontinue all Synopsys tools.

      - [ An Anon Engineer ]


  Both tools give good results.  Test Kompress was too expensive when we 
  last checked.  As Magma users we've been using Mentor, given Synopsys'
  bundling price mentality.

      - [ An Anon Engineer ]


  Our company still use DFT Compiler for scan-stitching though we have
  all other tools in Magma.

      - [ An Anon Engineer ]


  Not sure.  It looks like my company is trying to push towards a Mentor 
  solution.  We're a Magma house.

      - [ An Anon Engineer ]


  Synopsys BSD Compiler is garbage.  DFT Compiler and TetraMAX get the
  job done.  Magma user.

      - John Schritz of Tektronix


  My TetraMAX experience is from 2004, but at that time it was like going
  for a swim in a pool filled with shards of glass.

      - [ An Anon Engineer ]


  TetraMAX itself continues to be a reasonable replacement for FastScan.

  Synopsys clearly had issues with their attempt at SOCbist (now abandoned)
  and DFT Compiler MAX (seems so far to be much better).  However, I
  personally still far prefer TestKompress simply because its still easier
  to use in our flows.  

  It's very painful at times having the test tool wrapped up in the synthesis
  tool like Synopsys does as there are mostly 2 separate groups working on
  synthesis/test.  Hence, if test is hosed in a particular version of DC
  you can't just access a separate version of test while still keeping the
  latest version of DC.  Add to that the woes of having a Get2chip and DC
  flow and it's a pain having to go into DC just to insert test.  Hence, 
  I'm a big fan of a standalone test insertion tools like TestKompress.  
  I also believe TestKompress gives better results than DFT Compiler MAX,
  but I don't have hard data to back that up yet.

      - Russell Petersen of Scientific Atlanta


  Latest version of TetraMax shows very well against FastScan.  Synopsys
  compression flow is cleaner than that of TestKompress, results are TBD.

      - [ An Anon Engineer ]


  Synopsys DFT Compiler seems to be improving.  I always liked TetraMAX.

      - [ An Anon Engineer ]


  We use Synopsys.  Have never done an eval so I can't say much more. 

  It is also nice that you can now use TetraMAX analysis without a
  TetraMAX license.  On past projects we handed off the design to a 3rd
  party for pattern generation and that was when we first learned our
  netlist had DFT problems.

      - [ An Anon Engineer ]


  We use Synopsys.  Do not know about Mentor test tools.

      - [ An Anon Engineer ]


  Synopsys is winning since DFT Compiler is more integrated with DC
  and Astro.

      - Sunil Malkani of Broadcom


  Synopsys DFT/TetraMAX wins

      - [ An Anon Engineer ]


  Mentor is better.  Mentor is winning.  TestKompress is good but very
  expensive.  Know nothing about Synopsys DFT Compiler MAX.

      - [ An Anon Engineer ]


  DFT Compiler works, and works well for designs that follow the
  guidelines.  Designs that don't follow the guidelines will always be  
  finding another tool that meshes with their quirks.

      - David Black, consultant


  Synopsys DFT Compiler/TetraMAX has high capability, but too many bug
  and exceptions.

  Mentor TestKompress is winning.  Synopsys DFT Compiler MAX is losing.

      - [ An Anon Engineer ]


  We use DFT Compiler because it integrates nicely with our DC flow, and
  the price is right.

  We used to use FastScan, but again, Synopsys won the battle on price.
  The conversion period was a bit painful, as TetraMAX was nowhere near
  the quality of FastScan (this was quite a few years ago), but it seems
  to work OK now.

      - David Smith of STmicroelectronics


  Only used Mentor DFT tool.  It is a little cumbersome to go to Mentor's
  tool from Design Compiler for scan insertion.

      - [ An Anon Engineer ]


  The DFT Compiler -> FastScan flow is still a bit ahead, and has a
  nice synergy with MBISTArchitect for memory BIST.  Don't know about
  TestKompress vs DFT Comp Max.

      - Neel Das of Tallika Corp.


  Both are good.  Mentor Advisor/FastScan is easy to use.  Do not use
  TestK or DFT MAX.

      - [ An Anon Engineer ]


  We use DFT Compiler and TetraMAX.  Evaluating DFT Compiler Max soon.

      - Tom Mannos of Sandia National Laboratories


  We do have lots of issues with DFT Compiler lately.

      - [ An Anon Engineer ]


  I only use Synopsys DFT Compiler/TetraMAX.  So far so good.  Don't know
  about other tools.

      - Larry Ping of BroadLogic Network Technologies


  Mentor still has the lead. TestKompress much better that SNPS.  Strategic
  question is how long one can look at the whole test flow as a separated
  flow from implementation.  We see long-term migration to merged RTL2Mask
  including all test aspects.

      - [ An Anon Engineer ]


  Synopsys DFT Compiler/TetraMAX > Mentor DFT Advisor/FastScan

      - Benjamin Chen of Socle Tech.


  At least for us, Mentor lost, TetraMAX won.

      - [ An Anon Engineer ]


  FastScan is winning.

      - Lauren Carlson of StarGen Inc.


  I have no recent experience with Mentor DFT Advisor.  My experience
  with DFT Compiler has made me disappointed in its abilities.  Many
  things which we are doing in PhysOpt have not been implemented yet
  in DFT Compiler.  No documentation tells us this.  In our design flow
  we always run into critical problems involving DFT Compiler.

      - [ An Anon Engineer ]


  Only reference point is with internal test tools.  Synopsys TetraMAX is
  faster and better, although has several bugs still.

      - Brendan Barry of S3 Ltd.


  Mentor is better

      - [ An Anon Engineer ]


  Mentor is better in this area.  Haven't used TestKompress or equivalents.

      - [ An Anon Engineer ]


  Don't know.  I hear about more people using Mentor.

      - [ An Anon Engineer ]


  I haven't used it personally but colleagues prefer Mentor DFT.

      - [ An Anon Engineer ]


  DFT Max is better.  TestKompress debug capabilities stink.  We taped out
  our design last week using DFT Max.

      - [ An Anon Engineer ]


  I use DFT Compiler for scan insertion, and our test engineers stick
  with Tmax.  I haven't any clue about the state of the competition.

      - [ An Anon Engineer ]


  generally Mentor is better and more advanced.

      - [ An Anon Engineer ]


  Started evaluating DFT/Scan tools.  Appears that Synopsys & Mentor just
  about tied.  Probably go with Synopsys if all else equal (price, 
  performance) since we use DC.  Have not looked at TestKompress or MAX.

      - [ An Anon Engineer ]


  TetraMAX winning, slightly.  We have both FastScan/TetraMAX in order to
  support customers integrating our IP.  Seems like we have a few more
  TetraMAX customers compared to FastScan.

      - [ An Anon Engineer ]


  The Synopsys DFT tools work well and meet all our needs.  Mentor DFT is
  probably still the best tool available - based on what we hear.

      - [ An Anon Engineer ]


  We use Synopsys DFT Compiler/TetraMAX and get good results although it
  needs lots of netlist massaging with multidomain and gated clocks.

      - [ An Anon Engineer ]


  Mentor TestKompress is better than a gimmick now, but I still prefer
  TetraMAX, which I still feel provides a more comprehensive/effective
  solution.  Of course the effectivity depends on the problem and the
  strengths of the tool, but for complex logic, I still prefer TetraMAX.

      - [ An Anon Engineer ]


  We use DFT Compiler for scan insertion.  It's OK though it devours large
  amount of memory and costs much time.  We use FastScan.  It seems more 
  versatile than TetraMAX, especially at-speed test with on-chip PLL
  generated clock. But TetraMAX has its own interesting features.  There
  are two I'd like to mention: distributed pattern generation (this can
  save a lot of time) and bridge fault model.  TestKompress is good, smooth
  flow and a FastScan user can adapt to it in 2-3 days.  We haven't tried
  Synonpsys DFT Compiler MAX.

      - Joe Xu of Huawei Technologies 


  The only difference between TetraMAX and FastScan is the user-interface.
  TetraMAX seems to do a little better in this department, but it might be
  because we're used to it.

  Mentor TestKompress is way ahead of Synopsys DFT Compiler MAX.  Synopsys
  changed their strategy and this cost them some time.  TestKompress
  ability to handle complex timing and their customer support is much
  better.

      - [ An Anon Engineer ]


  FastScan has the transition delay test feature included, while you have
  to pay extra for it from Synopsys for TetraMAX.  I performed a very compact
  set of pattern with TetraMAX with close to 98% test coverage, while Fastcan
  produces a huge amount of pattern with a little more coverage.

  The battle is ongoing - both warriors are wounded because it is a nightmare
  to get the transition delay scan test up and running.  Basically both tools
  lack a appropriate interface of the necessary timing exceptions.

      - [ An Anon Engineer ]


  I have only assisted the DFT engineer using TetraMAX.  I think all
  leading DFT tools are more difficult tools to use/debug than is
  necessary.  The only reason the companies get away with it is that
  management doesn't care how much pain the DFT engineers endure as long
  as it eventually works.  Advances in debug of the reason for lost
  coverage needs much improvement.

      - [ An Anon Engineer ]


  Currently using TetraMAX.  Examined Mentor Advisor but have not seen
  motivation to switch.  Also evaluated TestKompress.  Predecessor to
  DFT Max (XDBIST) was very difficult.  DFT Max seems to be much better.

      - [ An Anon Engineer ]


  We are using DFT/TetraMAX because of the foundry flow that we are using.

      - [ An Anon Engineer ]


  I am biased towards Mentor here - they have always met or exceeded my
  expectations in DFT, so I have never used Synopsys DFT.

      - Kevin Broe of Britestream Networks


  Mentor will win.  Syntest will lose.  Mentor TestKompress is better.

      - [ An Anon Engineer ]


  We have pretty good experience in using DFT Compiler and TetraMAX
  so far.  I don't have experience on Mentor TestKompress but Synopsys
  test compression tool seemed to work for us, too.

      - Wanhao Li of Zoran


  Synopsys is winning because DFT should be a part of implementation.
  More tight integration is necessary between DFT and synthesis/P&R.
  Mentor does have a small chance and Magma/Cadence DFT have more chance
  to get Synopsys DFT users.

      - [ An Anon Engineer ]


  I think Synopsys is winning this battle, mainly because of integrated
  flow.  The pricing is pretty good too.  TestKompress is twice as
  expensive as DFT Compiler MAX.

      - [ An Anon Engineer ]


  No idea who's winning.  We are using TetraMAX and are happy with it.

      - Are Arseth of Atmel


  DFT Compiler/TetraMAX is horrible and good.  DFT Compiler is aweful.
  Each release all the commands must change.  I hate it!!!  The tool often
  crashes and does not spit out useful information.  This is especially true
  now that TetraMAX is used under the hood.  What does "Build error" mean?
  How are you supposed to debug a general error such as this?  TetraMAX
  standalone is good for generating vectors and debugging.  Mentors tools
  work well.  I can not tell who is winning.  My preference would be to use
  Mentor, but our contract with Synopsys forces us to continue buying their
  tools.  I have only used Mentors tools in evaluations - but they run well.  

  Mentor's TestKompress is a far better tool compared with Synopsys's DFT
  Compiler MAX.  DFT Compiler MAX is a new tool to replace SoCBIST which was
  extremely difficult to use.  The tool has potential and works much better
  than the former.  TestKompress has been out and stable for some time.
  Documentation for Mentor's tool is vastly superior to the limited docs
  available from Synopsys on their latest features.

      - [ An Anon Engineer ]


  We use only FastScan (LSI Flow)

      - [ An Anon Engineer ]


  We use FastScan.  Originally it was chosen due to cost, now I consider
  that commodity software.  I won't bother with changing it.

      - [ An Anon Engineer ]


  I haven't been able to examine the Mentor tools recently.  I would be
  interested to know if the Cadence Encounter Test tools are making any  
  inroads yet.

      - Mike Olson of Insyte Corp


  We chose DFT Compiler over Mentor's tools on our last chip (after using
  Mentor on the previous chip).  But we will be using the DFT capabilities 
  that come with Get2chip this next go-around.

      - [ An Anon Engineer ]


  Currently looking at Cadence Get2chip for scan insertion, although
  haven't used it on a project yet.  Looks okay.  Don't know the Mentor
  tools, so no opinion.

      - [ An Anon Engineer ]


  No idea as we use LogicVision

      - [ An Anon Engineer ]
Index    Next->Item








   
 Sign up for the DeepChip newsletter.
Email
 Read what EDA tool users really think.


Feedback About Wiretaps ESNUGs SIGN UP! Downloads Trip Reports Advertise

"Relax. This is a discussion. Anything said here is just one engineer's opinion. Email in your dissenting letter and it'll be published, too."
This Web Site Is Modified Every 2-3 Days
Copyright 1991-2024 John Cooley.  All Rights Reserved.
| Contact John Cooley | Webmaster | Legal | Feedback Form |

   !!!     "It's not a BUG,
  /o o\  /  it's a FEATURE!"
 (  >  )
  \ - / 
  _] [_     (jcooley 1991)