( DAC 03 Item 36 ) ----------------------------------------------- [ 01/20/04 ]
Subject: StabieSoft Slam-Edit & Strategy
ONE MAN BAND: Tanner tools may be small business, but StabieSoft is the
ultimate in EDA small businesses -- a one man company. Mike Stabenfeldt
is the "Stabie" of StabieSoft. He virtually does it all. R&D, customer
support, sales. I'm impressed. The StabieSoft cash cow is Slam-Edit,
an IC mask layout editor that competes directly with Cadence Virtuoso.
OK, here goes. I have used the StabieSoft Slam-Edit viewer for
consulting and the larger package for academic tasks. First, the
viewer: I was consulting for a company 2 years ago which have been sent
a large set of GDSII files in several mapped layer styles which
purported to be custom pad, standard cell and PLL designs. I also was
given some very large macro cell layouts from now defunct Tality.
Despite the varied sources of the data, I had absolutely no problem
opening, viewing or printing any of the files -- nor of finding cells or
references of interest. I was using a 400 MHz Celeron laptop and
converted a 450 MB GDSII database into Slam's internal format -- this
took 3 minutes and from then on I could view the designs directly. I
was particularly impressed with the update rate when viewing the large
macrocell -- as were the Tality people. I gather that since the data
arrived about 2 hours before the meeting, they did not expect to have
detailed issues on the floor when they arrived. Over the next two years
I have translated layout from many other sources, without apparent error
and indeed have used Slam to assemble a chip for fabrication.
Good points:
1. Cheap -- very fast and very complete -- reads even some GDS files
I suspect are broken.
2. Easy to see what is in the files -- including dates, symbols and
other data, usually lost by other readers. (This was particularly
valuable for a certain contractor who misrepresented the source of
his design.)
3. Installation was a snap and did not require any odd Linux setup.
4. Support was instant and detailed, despite the company size. We
needed the ability to make jpeg and other format prints from the
GDSII -- this capability was added in a couple of days and
shipped.
Bad Points:
1. Library format was a bit arcane at first -- as was the program
pull-down format. Took some time to figure out what I needed to
do.
2. Editing the layers for presentation seems to be needlessly comple
-- many things are supported, but it would be far easier to have a
mode in which you point to a layer and pop-up a an option sheet
from the display.
3. Although pan and zoom keys are intuitive, I'd also like a
"Acrobat" style click drag in the viewer.
More recently, we have started using the Slam tools for integration of
and chip assembly of layout from various sources. The issue here is
that some of the layouts are very odd i.e. Schottky diode exponential
delay lines and microwave integrated feed horns. These designs are
converted into Slam, final edited, and written out, then fractured and
written on local equipment for on-campus fab. Sources of the layout are
Ledit, Cadence, GDT, MAX, iCED and others. Finally, in my own lab, we
are assembling a fast prototyping flow using Cadence First Encounter as
a floorplan engine, and using Slam as an assembly tool. Currently, the
documentation for the new floorplanning tool is a bit rudimentary, but
we have laid out a nice power grid which was back annotated into Cadence
Silicon Ensemble correctly. This proved to be far easier than making a
custom grid within Silicon Ensemble...
You may note that I have not described the edit features directly; we
currently design mostly in MicroMagic MAX (which is now unavailable as
far as I know) because of its very nice coupling between schematic and
layout views. However, we have used Slam for final editing and
placement with no problems.
- Forrest Brewer of UC Santa Barbara
Sure I can give my opinions with the caveats that I am in a startup and
wear many hats. I have not spent any significant amount of time in the
Slam-Edit tool for about 5 months.
The Slam-Edit tool works well and in general I would recommend it to
anyone looking for a low cost, quality layout editor.
The Slam-Edit strong points.
* Installation support. Mr. Stabenfeldt answered all my questions
patiently and thoughtfully even though he has probably heard them many
times.
* Usage support. Again patient and thoughtful answers although
accompanied with the 'see the manual section XYZ' when appropriate.
* Improvement suggestions were accepted graciously and adopted quickly
when appropriate. In one instance, by the time I had modified the
tcl/tk code to do what I wanted he, Mr. Stabenfeldt, had already
produced and sent the improved version for review.
* The stream out/in portion is excellent. Fast and flexible. It
highlighted and allowed us to fix problems or situations that the
Cadence tool did not deal with well.
* The plot tool works well and it is much less hassle to setup and more
reliable than the cadence tool. I have written a skill procedure to
pre-process the layout data and send it to the stabbie plot tool.
* It is Linux stable. Cadence is not.
* The user interface is tcl/tk based which allows the user, more like
the CAD people, to tweak the interface to their liking. I have added a
small number of features that I thought were nice in a very short time.
A lot of tools are using tcl as a tool interface language so this
eliminates the need for Skill/Scheme language learning curve.
* The library management works well with no bugs found. I did not get
to the point of splitting a project into new versions or having to
recover a library so I can not respond as to how easy this is to deal
with.
* The layout tool does all that is needed in a layout tool. It may be
missing a couple of features from the cadence tool but they are minor
in my experience. Again no bugs found in my usage.
Weak points.
* The tcl/tk interface. It lacks the glossy look of other tools even
though the functionality always exists.
* At the time, the tool lacked the schematic editor/netlister stability
that I was looking for. I know that he is actively improving this
section of his tool. He again responded well to suggestions for
improving the schematic editor.
Bad Points.
* I found nothing that made me say "what a piece of @#$%^$#%".
- Kevin Tomasek of D2Audio
In the past I have used Layout editors from Mentor and Cadence. I liked
Slam the best for the following reasons:
Pros:
1. TCL based, easy to program.
2. Database access is fast and data manipulation is easy.
3. Runs on Linux and is extremely fast.
4. Editor commands are easy to learn.
5. A good suite of tools to support the base layout editor package.
6. Dirt cheap.
Cons:
1. Does not have enough of a user base yet, so one has to write
his/her own utilities.
2. The GUI opens a separate window for tracking cursor co-ordinates.
I wish this was done in the main GUI window.
3. Color choices for polygons is limited.
Overall I like Slam and would recommend its purchase.
- Suresh Venkateswaran of Signet Design
I worked in wafer fabrication helping the failure analysis group debug
part problems, so my perspective on CAD tools will be different than
some poor layout guy. I had to support product engineer and FA with
layout views of parts with no budget for licenses, however I did use
Cadence for testchip design, so I can compare one major CAD tool vendor
with Slam-Edit, but I did not push polygons with Slam-Edit.
I evaluated Dolphin SOGDS (free download), Max (Juniper Networks),
Quickview, HPL viewer, and Slam-Edit. Slam-Edit was the best for the
cost. The technology file is fairly straight forward to use, color
palette has large selection. We used to get new technologies in
frequently, so one doesn't want to spend all day creating a tech file.
It's easy to use as are the other packages above and certainly easier to
use than Virtuoso. Slam-Edit lacked a simple view of the cell
hierarchy, node trace feature, the ability to show a cross-section
view(important to fab types), and I had some problems getting the text
loaded in the database correctly.
Comparison of Cadence/StabieSoft based on my usage:
Cadence Slam-Edit
------- ---------
can be difficult to use very straight forward
creates db can also load into memory for
temp view
cross-section Skill code on web no cross section
DRC tool (Diva) poor did not use DRC
color choices good color choices good
Skill -- god help you tcl commands
ruler good ruler ok
design cell summary report no similar feature... wrote my
own in tcl
cif support no cif support
net highlight no net highlight
All in all, I'd order Slam again.
- Todd Mitchell of Texas Instruments
StabieSoft tools were critical to a PD flow I developed for a customer.
They worked flawlessly and the cost and the support from StabieSoft
was/is excellent. I can't say enough good about them. Mike runs with
an unusual business model for EDA and that I find refreshing and
encouraging, too. I like that I can still develop a production capable
PD system where every major component does not have to come from the
"leaders" in the EDA industry and doesn't carry a greedy price tag.
Please remember that the technical details of the tools do not alone
justify a purchase.
In StabieSoft's case though the tools are technically adept and solid
and the pricing is very affordable, too. That's a combination I have
not experienced with any other vendor in this market segment. Stabie-
Soft has something that is very hard to beat. If you want your audience
to benefit from what they read in DeepChip they need to know this.
I have designed the StabieSoft tools into my latest SoC PD system and I
plan to use them for other PD systems I currently have under
development. StabieSoft's Strategy product especially is key to
several tape-outs for me.
I use the Strategy product for detail level floorplanning, full chip
power routing, padring development, chip assembly, GDS generation,
plotting and all polygon level layout work. Strategy forms the core of
my PD system, which is a netlist (DEF) to GDS flow including the First
Encounter prototype/placement engine and the NanoRoute route engine.
Basically I feed to Strategy the DEF from a placed FE run, which was
initially fed the pre-placement DEF of the floorplan from Strategy. The
placed DEF is merged back into Strategy with the pre-placed floorplan,
power routing and padring and then the resultant DEF is output from
Strategy and fed to NanoRoute for detail routing. Subsequently the
detail routing DEF is input to Strategy where the final mask layout
database is assembled. It is very fast and very stable and priced
almost too good to be true. StabieSoft has recently added a schematic
capture product to its tool suite, which I plan to use in conjunction
with Strategy for a semi-automated user controlled cell based datapath
layout system and a standard cell library development system. These
tools are well worth a look at for new PD tool purchases or additional
PD seats. If nothing else look into the capability and cost of the
plotting software alone, you will be amazed.
- Jules Kniolek of MaverIC Design Labs
I've used Slam-Edit for several years for everything from leaf cell
layout to full chip assembly and final visual verification of tape-out
databases because:
- Streaming in and out is very fast
- it has a high capacity, compact database
- re-draw time is very fast with good control
- it is as powerful an editor as many more expensive tools
- yet, it has a light-weight, fast feel to it.
I also use it to debug DRC errors quickly with the Hercules error file
reader and violation stepper.
I've also used a unique feature (added at our request) to piece together
full chip SDF files from a collection of block level SDF files. But
that's less necessary now that the capacity of RC extraction software
has increased.
The only down side I can think of is that cross probing to the Cadence
schematics is lost. Although that's not something I need very much,
others may use it a lot for hand routing and debugging LVS issues.
- Jim Dodrill of Analog Devices
My thoughts on StabieSoft's Slam-Edit:
1) Excellent stream-in/stream-out speed. I first began using Slam
because it tremendously shortened the time I had to wait for gds in/out.
It also has a much higher capacity and is able to handle gds files well
over 400MB with no problems.
2) Excellent "Edit-in-place" view. I really like how a simple click
allows you to edit in place without changing anything that you currently
see. Suddenly, in the same view that you already had, you are able to
select shapes within a cell and move them around. This is very helpful
for instance if an error geometry is only posted at an upper level and
you have to edit in place to correct a lower level cell. The error
continues to blink over the cell as you move the polygons.
3) Good command suite. Not quite as powerful as Cadence but definitely
able to do most everything that I need to do.
4) Excellent programmatic interface. Slam has a TCL programming
interface which allows for script driven commands to be sourced in a
much shorter time than it would take to draw them. Slam also has a rule
checking ability to allow for fundamental rules to be checked without
ever leaving the editor. I have not used this feature, but hope to in
the near future.
5) Excellent redraw speed. Compared to Cadence, Slam redraws the screen
approximately 10X faster running on same machine.
6) Excellent quality. In two years of using Slam, I have never had to
report a bug that kept me from being able to tapeout. The design team
here in Austin has taped out three designs directly from Slam.
- Mike Young of Analog Devices
My perspective is more from a CAD guy than from a layout guy. Sort of a
CAD guy that needs to look at layout from time to time, or needs to
create an inexpensive solution for a small group on a shoe-string
budget. Mostly, I have used Slam-Edit (the layout editor) and Strategy
(the DEF editor) in an integrated fashion.
Prior to any form of power planner in First Encounter, I used the
Strategy capability for creating power structures as well as fine
tuning. It had the ability to get me started with a power structure and
then edit it from there by hand. The objects being edited remained
defined as special routes in the tool and could be DEF'd out to FE. The
problem with the Strategy tool is that it was not a fully functional
power planner like the one in SE. The nice thing about the Strategy
power planner is that it was not bug-ridden like the one in SE.
The Slam editor is easy to get up and running compared to trying to set
up a techfile and other installation stuff for Virtuoso. This can be
important when receiving IP from other vendors and there is mystery
"stuff" on undocumented layers. Virtuoso can be difficult to use when
dealing with mystery layers/IP.
Slam and Strategy have worked on Linux for a number of years now. This
is nice when visiting a foundry with questions about IP when you can
open up your notebook running Linux and display the layout question on a
15-inch TFT screen at their office. I can imagine that for someone
doing contract work as a layout engineer that this makes a very
convenient and cost-effective solution for working on a customer site.
Back before First Encounter had a GDSII export utility, another tool was
needed for converting DEF to GDSII for tapeout. The Strategy/Slam tool
was an inexpensive solution for finishing a chip for tapeout. Oh, and
the size was about 70 million transistors. The final GDSII stream file
exceeded a gigabyte in size. As I recall, Slam could read or write it
well within an hour on a 1.2 GHz Linux box.
I can maintain the integrity of a DEF-based database in Slam/Strategy.
I cannot begin to count how many times I have witnessed people take a
routed DEF file into another layout tool, manipulate it, tape it out,
and not have the ability to get back to the DEF-based tools for further
ECOs. I have been able to maintain a DEF-based database with
Slam/Strategy for further ECOs in other DEF-based tools while keeping
hand "tweaks" that were created in Slam/Strategy.
Coupling Slam/Strategy with a revision control system does not yet
appear to be there. If it is, my apologies. When I looked at the
revision control problem about eight months ago, it appeared that I
would have to use CVS external to Slam/Strategy.
From a support perspective, I would rank support from this company as
the best. Most every change that I have asked for has been implemented.
I realize that knowing where Mike Stabbenfeldt lives and it's just a
small drive for me might have something to do with his support model for
me. :) For the most part, there is some initial support time spent
getting things set up and after that I only contact him when I need
something new in the tool and its there in a follow-on release a week
later. If he winds up with an installed base of 1,000 users will he
still be able to keep this up????
He uses a different license tool than Flexlm. It was easy to figure out
and has been very reliable.
Bugs are fixed within a day or two. If only there was another company
out there like that.
A problem with getting his tool into a big company has been purchasing's
problem with this being a small company. Purchasing problem: a one-
person operation that if something happens to him then there could be a
problem. So, I wonder how that really compares to larger companies
being bought and big EDA tool vendors discontinuing those tools that
were an integral part of a chip flow???? Being competitive means being
resourceful and responding to change. Me thinks procurement folks
worry much about very little.
One of the things I found useful was in writing powerful TCL scripts to
Slam/Strategy. Very useful to use for navigating a layout database in
search of special items.
I found Slam/Strategy very easy to use in creating standard cells,
editing IP, and navigating hierarchy in a large layout. Also, was very
easy to use with Calibre for examining physical verification problems.
For what I needed to do, I found Slam/Strategy much easier to use than
Virtuoso/Preview.
- Michael Dukes of Cirrus Logic
I used Slam-Edit extensively for 9 months at a company I was consulting
for. I was responsible for installing, customizing and supporting Slam.
I've done a little work with ICED, but for the last several years, I've
mostly used Cadence Virtuoso.
To be honest, I am not a real purist when it comes to CAD tools. As
long as I can get done what I need to get done easily, I am comfortable
adapting to the specifics of a particular tool. I can offer my
experience with Slam and share my thoughts of what I found it's
strength's to be. I should preface what I'm going to write with the
statement that I have no criticisms of Slam. Given the scope of what I
was trying to accomplish, it did everything I needed it to...and well.
For my experience, Slam has all the features and capability to develop
the physical design for custom IC's from block development through chip-
level integration and assembly. When I came to this company, I was one
of two layout contractors, and they had no CAD support at the time. I
was asked to install Slam and get it up and running. Within hours I had
Slam installed and running and within the next week I had custom tcl
constructs for parameterized creation of transistors (TLGs... like
Cadence pcells), guard rings, and other commonly used devices. I had
some programming experience, but no former knowledge of Slam or tcl.
With just a tcl programming guide and access to the example tcl code
included with Slam (and a few phone calls to Mike!), I was quickly able
to bring Slam up to par with the important features of the mature
Cadence environments I was used to using. I went on to create and
customize the menus and windows for integrating Calibre LVS/DRC and
XCalibre extraction. I also wrote a perl script that automatically
generated the tcl code representation of the configurable chip padring.
When you sourced the tcl code with Slam, it instantly created the
layout. The "open source" nature of the Slam code and the use of the
tcl language, make customizing Slam easy and very flexible. I don't
have much experience with SKILL programming and can't compare it with
tcl, but I was surprised at how little time and effort it took to
customize Slam. If I could think up a function, I could implement it in
Slam.
During this contract, I also drew custom analog layout. Slam never
crashed and opened even large layouts very quickly. The bindkey
programming was very straight forward. My favorite built in function
was the ability to alternate selecting local geometries with the TAB
key. If you were trying to select a geometry in a congested area and
selected the wrong one, within a few hits of the TAB key you typically
had the one you wanted. In Cadence, you have to alter what is
selectable with the LSW window or use plain old trial-and-error to
achieve the same result. A simple function, but it made my life easier,
nonetheless.
Then there's the price... I a big believer in value, where price is only
one variable. A tool that doesn't cost a lot, but doesn't do what you
need it to do is really not a good value. In my mind, however, Slam is
an excellent value. Whether my usage could be classified as typical or
simply basic, there wasn't anything that I could do in Cadence that I
was not able to accomplish in Slam. And it's not just a matter of "Why
not save some money."
From my discussions with Mike Stabenfeldt, he has set out to develop a
product that is not only as capable as Cadence Virtuoso, but also
exceeds it's functionality in key areas. Again, I'm not the best person
to evaluate how well he has achieved this relative to Cadence Virtuoso,
but when you consider the features, ease of use, and cost, any
semiconductor company would be crazy not to make a serious evaluation of
Slam.
- David Bonomi of IPS Consulting
Well, to tell you about Slam I need to divide myself into three
personalities:
- In a layout capacity, before Slam I've used Chipgraph & ICgraph from
Mentor, and Virtuoso from Cadence (before we converted over to Slam).
The feature set for doing custom layout is comparable between them all,
but Slam has them beat handily for editing and redraw speed, memory
capacity, and stability. Slam very rarely crashes but when it does,
it's very good at writing out any unwritten data to a 'panic' cell
before expiring completely.
- As a CAD guy, too, using Strategy (Slam + P&R code) lets me bring in
routes using def, do floorplanning, pin massaging, etc all within a full
custom layout editor, then DEF back out again. Also, the interface to
Slam is Tcl, which I prefer greatly to working in ample or skill. It's
been easy to write a slew of utilities plus layout-generation TLGs (like
Virtuoso's p-cells or ICgraphs's devices) to boost the layout group's
productivity.
- From my CAD manager perspective, Slam has been a standout purchasing
success. Easy to install, very low initial cost, runs on Linux or Sun,
free upgrades, *no* annual maintenance, bugs fixed in days if not hours.
'Nuff said.
Now I'm going to have to dig hard to search for negatives!
- There is a schematic editor now, but when we looked at it a couple of
months ago, it wasn't ready yet for our needs. We'll check back in the
future.
- The GUI is a little sparse and utilitarian and geared more towards
experienced layout designers (more hotkey-oriented than menu-oriented).
The learning curve is a little steep, but rewarding.
- Lacks mouse gestures. I miss them, and hope to try to implement them
some day (almost all the hooks are there).
- Rand Bamberg of SigmaTel
|
|