( DAC 03 Item 26 ) ----------------------------------------------- [ 01/20/04 ]

Subject: Magma Blast Fusion/Plan/Rail/Create

BACK WITH A VENGANCE:  Last year in the DAC'02 Trip Report, Magma was very
publically embarrassed by having no users speak up for them.  Check out the
http://www.DeepChip.com/items/dac02-16.html link.  This year, I can't get
the bloody Magma users to shut up!  We're talking 17 pages of Magma user
opinions, facts, rants, and praise here.  Enjoy.  :)

   
    Two of our project managers lately completed very successful designs
    using Blast Fusion.  After long evaluations we definitely found out
    that with Magma's Blast Fusion integrated in Sycon's Tempest technology
    we can achieve much better QOR compared to other solutions.
   
        - Sharon Zohar of Sycon Design
    
   
    I have had a brief experience with Magma's Blast Fusion, Blast Plan,
    Blast Create.
   
    What we like about Magma:
   
      - Ease of use: very efficient GUI, the information is well
        organized and easily recoverable from a single interface
      - Unified database: no need to manipulate design data is more
        efficient and error-free
      - Ease of interaction: easy to interact with design databases to
        cross-check the reliability of the results
      - QoR: reduced design cycle time also for critical timing designs
      - support: good quality support by enthusiastic AE's
      - hardware: optimum usage of  low cost resources
   
    What we don't like about Magma:
   
      - Area is not always optimized
      - GlassBoxes implementation flow not well documented
      - New database formats for libraries require an extra effort from
        development teams that is not easy to get to keep the deliveries
        up-to-date
   
    New methodologies and tools are not easy to get into the picture of big
    company standards, but Magma seems to have a promising new environment
    with lots of interesting capabilities to start working with.  We did
    some evaluations and benchmarks always with good results compared with
    existing tools.
   
        - Daniela De Castri of STmicroelectronics
   
   
    I mainly evaluated Blast Fusion and Blast Rail tools.  Those tools are
    fully integrated, speak TCL/DEF and SDC formats flawlessly, and achieve
    timing convergence quickly. 
   
    Magma's tools seem to be well thought of, comprehensive, and tackle the
    problems in a very thorough way.  What impressed me when I evaluated
    their tools (compared to others), the runtime, small memory needed, and
    timing closure.
    
    What I mostly liked:
   
      - TNS (Total Negative Slack) approach which works on all paths
        concurrently.
      - Timing events propagation methodology which reduces the amount of
        classical STA modes and set_case_analysis related to clock
        domains.
      - OCV (on_chip_variarion) is integrated already in the final
        timing/placement.
    
    Though I did not like some aspects:
   
      - It's a bit more complex tool to learn at first, but once you wear
        their suit, things go easier.  Expert users should pick up rather
        quickly.
      - You still run the Gate->GDS2 flow in the classical way place
        (cell), clock, and route.  So timing will slightly differ after
        each step.
    
    Overall, it's a very competitive tool and I hope Magma keeps improving
    it without affecting integration, speed, and thoroughness.
   
        - Hatem Yazbek of Oplus
   
   
    I saw Magma's demo at DAC for Blast Fusion and Blast Rail, and really
    liked the fact that it flowed smoothly in one environment.  I got the
    feeling that Magma users don't have difficulty translating tool to tool
    as with some other environments.  I also like the fact that Magma does
    some analysis early in the design instead of after place and route.
   
    Their Blast Plan design planning tool was similar to some of the other
    design planning tools I have since, but again, the integration was very
    good.
   
        - Holly Le of Mindspeed Technologies
   
   
    Broadcom's Broadband business unit completed a thorough evaluation of
    next generation place and route tools two years ago, where we looked at
    several different place and route tools.  We used three difficult test
    cases, including one for high-speed design.  Our physical design
    manager strongly recommended Blast Fusion for our next generation
    design because it was better suited to meet timing closure, which was a
    key issue for us.  Another factor was the superior tool design
    philosophy compared to the rest of the pack in the market.
   
    The last time I used Silicon Ensemble was in 1998.  It was a clearly
    loser in 1999 - 2002.  We evaluated Astro three times in the last three
    years and it struck out three times.  We used Apollo for 0.25 um and
    0.18 um development.  The InPlaceOptimize (Saturn) has its limitation
    to address our needs so we needed a better P&R/timing closure/noise
    closure tool for 0.13 um.
   
    The Magma folks are much more responsible compared to the Synposys
    folks.  Magma is not 100% mature -- we are facing new Magma issues
    every week, but all the issues are addressed in a short time.  Magma
    Blast Fusion has better algorithms to close timing.  The tool has
    better database management to fix silicon integrity design issues.  The
    tool is the winner for 0.13 um P&R.  Don't know the winner for 90 nm.
   
        - JC Wang of Broadcom
   
   
    Blast Fusion/Blast Plan/Blast Rail Pros:
   
    1.  General Solution
   
      - Tcl based environment allows easy adaption and workarounds for
           almost any problem
      - Database concept -- complete data in one database allows easy
        transfer for backup or support issue
      - Different runs can be done on one database w/o any risk (e.g.
           different setups for same step to get best result)
      - Compression possibility
      - Easy and stable ECO flow
      - Linux version saves lots of runtime and therefore costs
      - Fast power analysis within P&R possible (Blast Rail)
      - Good antenna fix algorithm
   
    2.  Blast Plan Hierarchical Design/Floorplanning
   
      - Different abstraction for blocks within hierarchical design
           possible (glassbox, whitebox, greybox)
      - Good pin assignment and alignment
      - Easy partioning
      - Timing
      - Almost complete Synopsys .sdc constraints are understood
      - Fast CTS, useful skew, easy constraining of nondefault rules
           (e.g. double width/spacing for clocks)
      - Mature holdtime fixing
   
    3.  Deep Submicron
   
      - Crosstalk prevention
      - OCV consideration
      - Easy use of yield increasing measures (e.g. redundant vias)
   
    4.  Support
   
      - Full indentification with project of customer
      - Availability during weekend/late night
      - Hugest engagement in critical situations
      - Fast delivery of updates if problems/bugs come up
   
    Blast Fusion Cons:
   
    1.  General
   
      - GUI slow and circuitous
   
    2. Timing
   
      - Non-timing driven initial placement leads to misplaced flops for
           registered IOs (IO path delay)
      - Different clock definition necessary within hierarchical design,
           addicted to block abstraction model (clock definition on
           whiteboxes is not understood properly)
      - Generated clocks are not fully propagated
      - Clocktree balancing in large hierarchical designs has to be
           guided by manual insertion of buffer/regions for good skew
   
    3.  Deep Submicron
   
      - Crosstalk prevention not sufficient (although large crosstalk
           delta on some segments is reported it is not fixed
      - Script-based ECO flow necessary
      - Timing correlation to PrimeTime-SI is not good (not necessarily a
           problem of Magma's)
      - Large margin within P&R to fulfill sign off criteria
      - Holdtime fixing within OCV mode cause setup violations in normal
           mode sometimes.
   
    I'm generally happy with Magma this year.
   
        - [ An Anon Engineer ]
   
   
    My impression of the Magma Blast Create tool from the 2003 DAC demo:
   
    1. Magma claims that the timing optimization effort should be at the
       physical design stage.  The initial RTL-to-gate synthesis with
       wire load models should not and can not address timing closure. 
       The optimization at the physical design stage is more meaningful. 
       They use the concept of "supercell" -- a placeholder just to get
       synthesis through with enough information for timing assessment. 
       I think this approach is a nice change and efficient.  As a
       design engineer, I have to agree with Magma that optimization
       without physical information is just a waste.  The purpose for
       the RTL synthesis should be just technology mapping and timing
       assessment at a coarse granularity.  Let the optimization --
       sizing, buffering, logic restructuring, ... -- be done at the
       later stage.  The result of their new approach : quicker RTL
       synthsis TAT and more capacity.
   
    2. Excellent integrated design environment.  Magma has by far the
       best integration I've seen, compared to Synopsys + Avanti and
       Cadence.  The hierarchical capability, the DFT features, the
       power estimation and optimization options, the floorplanning and
       placement, the signal integrity functions, power rail design and
       analysis... All are seemlessly and efficiently integrated; all
       can be referenced or "cross-probed" to the schematic/chip view
       GUI, which is very powerful by its own account.
   
    3. Good compatibility with industrial standard data interchange
       formats.  It appears that they are quite flexible in accepting
       and generating most of the popular data formats (DEF, Verilog,
       PDEF, SAIF, VCD, SDC, SDF, SPEF...).
   
    Overall, I feel Blast Create is the most comprehensive and best
    integrated RTL to placed Gate tool, with the interesting approach of
    "supercell" and "glassbox".
   
        - Hao Chen of Oki Semiconductor
   
   
    Avanti Jupiter -> after probably 5 years of telling them the same gripes
    every time they came to visit us, about Planet/Jupiter and getting zero
    response, we switch to another tool, and now finally someone got around
    to starting to implementing our requests!  I wish we had dumped Planet a
    few years back, if that was all it took to get them to actually
    understand the a floorplanner needs to understand the concept of a bus in
    order to get meaningful pin assignments on any SOC that uses tristate
    busses.
   
    Cadence Encounter -> Their presentation they gave us seemed to have the
    same base tool and feature set as last year, and the only thing new was
    promises of integration with other Cadence-acquired tools.  Wow... this
    sounds familiar, doesn't it?
   
    Magma Blast Plan -> in typical Magma fashion, their presentation wasn't
    real flashy and was pretty high level, but when you can get one of their
    AE's to sit down with you and chat with you, they really sold me on this
    tool.  It's not as mature as the other guys, but their database is
    actually an asset versus Avanti & Synopsys& Cadence having it be a
    liability.
   
    My impression after chatting with Magma, and starting to use it, is that
    for the guy who likes to program, do database access, etc, Blast Plan is
    going to be pretty cool once they get customers using it and it becomes
    mature.
   
    For the guys who like pulldown menus, nice simple tutorials, and typing
    "go" to get something, you're probably looking the wrong place in Blast
    Plan.  I've liked some of its features/results so well, that I've been
    using it for block-level floorplanning, and other engineers in my group
    have followed similarly... for a block with lots of memories (or poorly
    placed pins), "run place cluster" is awesome for early analysis.
   
        - Jeff Echtenkamp-Cho of Broadcom


    Magma's Blast Plan works well.  It is pretty much a minimalist tool; it
    gives you the ability to do hierarchical design, but it is not
    overloaded with features.  The glassbox approach works will to abstract
    data down to manageable size for top-level completion.  Budgeting is a
    capability that may not be needed if the designers have already taken
    steps to minimize the time available between the boundary FF and the
    block I/Os.
   
    In general, I am very skeptical of virtual-prototyping tools.  In 90%
    of cases, the problems identified and guidance given by such tools can
    be compared to that which good design practices will give.  For
    example, it can tell you if you need to register the inputs or outputs
    of a hierarchical block -- but what is to stop you doing that in the
    initial design for all blocks?  For the other 10% of designs, virtual
    prototyping tools may not be accurate enough to provide useful
    information.  The summary is that for P&R, the devil is in the detail
    and so tools that don't analyze in detail may not give useful results.
   
    Now, there are some neat features.  First Encounter (or whatever it is
    now called) has the ability to give guidance on the hierarchy and
    placement, by doing a quick flat placement first.  I don't know if it
    can really handle a large enough design for this to be useful -- if it
    can place the whole design flat, why not keep the design flat through
    the whole flow? -- or whether other problems only found by a more
    detailed placement would mean that the initial floorplan from such a
    tool would not be viable.
   
        - [ An Anon Engineer ]
   
   
    DISCLAIMER: I have consulted for Magma recently.  I might work for them
    again in the future.  Add as many grains of salt as you think are
    appropriate.
   
    I got to play with Magma's Blast Create but I haven't done a real
    tapeout with it yet.  The Magma synthesis is really fast compared to
    DC, maybe 10x faster.  I don't think creating floorplans is
    particularly easier or harder, it just is.  Blast Create has a nice
    feature where you can slam your gates into the floorplan with a
    proposed physical hierarchy, and it will do a quick placement with
    clustering that may or may not match your hierarchy, but your hierarchy
    is color coded so you can see which things really want to be near which
    other things, which modules you might want to split or regroup, which
    ones you might want to lump together.  It is a good way to sanity-check
    your physical partitioning before you get too deep into it.
   
    Magma tools are pretty high capacity so you can lay out very large
    modules flat if you want.  The biggest concern here is that they run
    faster on Linux than Solaris, but Linux doesn't support 4+ GB yet, so
    if your modules get too big you're forced onto the 64-bit Solaris
    version which is 2 or 3 times slower.  Normally I recommend that you
    try to keep your modules small enough to run on Linux, but this
    constraint wouldn't apply to an all-Sun house.
   
    You don't want to push Magma tools with ridiculously tight timing
    constraints.  Beyond a certain point, the area goes up but the timing
    doesn't go down.  You need to know where this inflection point is and
    stay on the good side of it.  It's OK and maybe even desirable to
    slightly overconstrain them, but I'm talking 1 or 2 percent, maybe 5%
    tops.  NOT the 10 or 20 percent that some people routinely recommend
    for DC, and certainly not 50 percent.  I don't think Magma has the
    concept of "critical range", so they will work on all paths that don't
    meet timing even if they're much better than the worst path.  If you're
    missing timing by more than a few percent, you need to go fix your RTL.
   
    If you happen to be fortunate enough to not be on the hairy edge of
    timing, the tool has very flat behavior in area and speedups often
    don't cost much.  So if you're meeting timing easily, it's worthwhile
    to crank down the constraints a bit and see what happens.  You might
    find that you can exceed your target speed at little or no additional
    area, and this can help yields even if you don't actually need the
    extra performance.
   
        - Howard Landman of Riverrock Consulting
   
   
    We use Magma's Blast Noise to check/correct crosstalk during routing of
    our blocks.  It seems to work well.  We crosschecked the results with
    our own internal crosstalk method.  We've had great difficulty getting
    correlation with PrimeTime-SI as it seems to be more pessimistic. 
   
    PrimeTime-SI cannot help us fix problems, only check for them, so the
    Magma flow is working best for us now.
   
        - [ An Anon Engineer ]
   
   
    I use Magma's Blast Fusion mostly from netlist-to-GDSII.
   
    Strengths:
   
    1. Timing/Physical Synthesis: Magma's Blast Fusion is excellent in
       timing closure.  In one of the designs I have done, the tool has
       closed timing after layout even the timing was not closed in the
       synthesized netlist.  Magma's timer has good correlation with
       PrimeTime.
   
    2. Flow: Blast Fusion has a powerful tcl interface.  Working in an
       integrated environment enables us to leverage our competency in
       tackling physical design issues rather than transferring text
       files between point tools.
   
    3. Crosstalk: (Blast Noise) most of the designs we are doing here
       are 0.13 um.  The SI flow is essential for us.  Their crosstalk
       avoidance flow is kind of push button and it does a good job.  In
       most cases, I have seen just a handful of violations after
       running the signoff SI tools.  Those violations were fixed by
       wire spacing.
   
    4. Capacity and TAT: We always want to stay in 32-bit Linux because
       the machines are 3X faster than Solaris and they are cheap.  We
       have finished a 750 K placeable object block with SI flow turned
       on in just 3 days.
   
    Weaknesses:
   
    1. Blast Fusion is very sensitive to timing constraints provided. 
       We need to spend quite some time for massaging the constraint for
       the tool to get desirable results.  Blast Plan's pin optimization
       does not give as good results as we want.  We have to do some pin
       optimization by TCL.
   
    Overall we like Blast Fusion.
   
        - [ An Anon Engineer ]
   
   
    I saw Magma's DAC demos and overall I liked what I saw.  The primary
    positives were "cockpit" integration, and user interface/ease of use.
    In addition, I like Magma's Blast Create gain based synthesis
    approach/theory.  It makes a lot of sense to reserve drive size mapping
    until you know somewhat where the instances will be placed.  How this
    works in practice I don't know but it sounds good and made a nice demo.
   
    By "cockpit" integration I mean the whole Magma approach of all the
    tools available/used in the same database, GUI, and user environment. 
    It seems like this would reduce training cost/time and also lead to
    better productivity. 
   
    As for Blast Noise and Blast Rail, the actual noise/rail analysis
    engine seemed to do the same things they all do.  No major differences
    that I saw.
   
        - [ An Anon Engineer ]
   
   
    Magma's Blast Chip is proven, i.e. IC implementation, which is logic
    optimization (NOT synthesis), placement and routing.  The other Magma
    technologies are still to be proven.
   
    Several designs have been taped-out with Magma at ST, printers and
    telecom ones, and some consumer.  I'd say 5-10% of the 180 and 130
    nanometer designs here.  The remaining have been taped-out using
    Synopsys.  In terms of "features" -- i.e. ability to complete -- there
    are no major strengths or drawbacks for Magma vs. Synopsys.
   
    The main pros of Magma are: The ability to run onto Linux 32-bits
    bigger blocks than Synopsys (this is a temporary pro, though: partly
    because Synopsys is working on it, mostly because average block size is
    growing rapidly and will soon overflow Linux 32-bits capacity limits,
    whatever the efforts of either vendor) and integration, which makes
    Magma easier and more straightforward for a beginner.  This is going to
    play an important role if the market will recover, as semiconductors
    companies will hire a flood of newcomers, mostly just graduated
    engineers.
   
    The main cons are: static timing analysis (PrimeTime) and extraction
    (StarRCXT), which are the most popular sign-off tools today, are
    embedded in the Synopsys flow: issues can be detected early on in the
    flow.  This is more important than one can imagine, because of faster
    turn-around time, Magma may give the impression of game over vs.
    Synopsys, which is significantly slower, BUT unexpected issues may be
    just around the corner, unlike with Synopsys, for the reasons I
    mentioned above.
   
    Stupid managers can be easily trapped and led to think that Magma is
    better because it reaches the 90% completion stage faster.  We have an
    important design that Magma AEs claim they are going to close in few
    days, (yes, Magma still runs, mostly, in taxi-cab mode), ... for over 2
    months!  Synopsys is not immune to problems -- it also demands an army
    of AEs to work -- but it is slowly progressing.  As I write I can't say
    who will tape-out.
   
    In summary, both Magma and Synopsys are very good, neither is perfect.
    Decisions in favor of either one is mostly driven by religious
    opinions, at least at ST.
   
        - [ An anon Engineer ]
   
       
    We used Blast Plan (floorplanner), Blast Fusion and Blast Noise from
    Magma.  To put the following discussion in context -- we used a
    hierarchical flow with over the block routing and buffering at the top
    level with a core frequency 300+ Mhz, and interfaces running 400+ Mhz
    (dual data rate of 800+ Mbps) and 10 M+ gates.  We have functional
    silicon to validate our flows on the very first tapeout.
   
    Strengths:
       1. Integrated database and timing engine between the
          floorplanner, placement, SI, timing analysis and routing
          engines
       2. The amount of access provided to the database through the
          tcl interface is just phenomenal.  As an example we
          implemented our own clock tree utility through the tcl that
          gave us sub 50 ps skews across an excess of 70,000
          endpoints.
       3. Very customizable.  The whole P&R process is broken up into
          very fine sub commands (ex. buffering for timing, maxcap,
          load, fanout, slew, length, noise, sizing, placement, power
          routing, global routing, track routing, search and repair,
          etc.), so one can finetune the performance of the tool to
          specific design style and requirements.
       4. Good correlation between Magma's timer and PrimeTime
       5. Good correlation between our sign off extractor and Magma's
          extraction.
       6. Sign off after noise prevention & fixing in Blast Noise was
          very clean.  We had to fix only two minor issues after
          Magma and there were no iterations for noise fixing.
       7. The Magma timing closure Engine seems pretty solid.
       8. Buffering options are very powerful.  We relied on these
          very heavily to close timing and routing at the top level.
    
    In general, we found no issues which could not be circumvented through
    tcl customization.  And I'd gladly live with these weaknesses any day
    for the amount of customization and user access that is provided to me.
   
    Weaknesses:
   
    1. We had a lot of trouble closing power.  It is constantly being
       improved, but we had to spend quite a bit of time upfront
       developing a custom flow to close power.
    2. We saw pretty long run times on the final route compared to
       traditional routers, but you have to understand that the router
       is timing and noise aware, and the other routers were not when I
       had a chance to use them.
    3. Their documentation on glass boxes was not up to par -- but once
       the AE cleared up our understanding, it was pretty straight
       forward.
    4. In the floorplanner, the pin optimization was not good.  We had
       to manually optimize the pins -- a one time effort.
    5. Power push down from the top level to the block level gave us a
       lot of trouble.
    6. Generating abstracts from GDS was not very straight forward.
   
    The learning curve for expert Magma users is steep compared to other
    tools.  There's a lot of customization that is possible (but not
    required) depending on your design specific requirements.  One could
    use it straight out of the box with default Magma provided scripts with
    no customization, but then you're doing a lot of disservice to your
    investment.
   
        - [ An Anon Engineer ]
   
   
    Here is our experience with Magma's Blast RTL in our last tapeout:
   
    Our chip uses NEC's CB12M process (0.18 um tech + 0.13 um channel
    length), and it has 1.3 million NAND2 equiv gate + macros (IO, PLL,
    RAM, etc.)  We use BlastRTL to synthesize from Verilog + VHDL into
    gate, which use "super" cell.  And then we send Volcano (which is
    Magma's common database) to NEC, who did the back-end work using other
    Magma tools, etc.
   
    The biggest strength of Blast RTL compare to other tools we have used
    before is it's gate capacity and synthesis speed.  This means that we
    can run whole chip synthesis.  We also benefit from their single
    database architecture, so that we can run timer after placement using
    the same command, etc.
   
    I used Synopsys DC and Ambit synthesis tools in my previous company
    years ago, and I feel it makes sense to use super cells to synthesis. 
    Using Synopsys DC and Ambit, cell mapping and sizing takes a long time,
    but they should be done after placement.
   
    Blast RTL's gain-based synthesis & gain report give you a good sense of
    final timing.  However, we still needed several iterations for timing
    closure, and we couldn't meet our timing within a reasonable time.  The
    biggest reason might be because Blast RTL assumes ideal placement in
    their fixed-timing methodology.  However IO buffer and Macro placement
    have certain restrictions in placement, thus nobody can get the ideal
    placement.  From this experience, we feel Blast RTL should know some
    physical information (at least macro placement), and reflect it into
    their fixed-timing methodology.
   
    Overall, we want to continue to use Magma tools.  But we probably need
    an improved design flow and also expect Magma to improve.
   
        - Yasuaki Hagiwara of Infrant Technologies
   
   
    Here is a note on my hands-on experience with Blast Create and with
    Magma tools in general, including Blast Fusion and Blast Plan:
   
    Strengths:
   
    1. Speed is what I like the best.  We synthesize many times before
       we get RTL and constraints right -- there are so many changes.  I
       could get my results so much faster with Blast Create.  We have
       synthesized 100K gate blocks 5 or 6 times in a day.  In fact, in
       the 3.2 release, you can run 100K gate blocks (RTL to GDS) in few
       hours, with signal integrity turned on.
    2. Runs on Linux. That is big plus for us.
    3. Gain report helped us with implementation flow.  Something I
       can't get from other tools.  Couldn't depend on it all the time,
       but usually tells us if we are on wrong path.
    4. Very useful for large flat blocks with tight timing.  Moreover,
       gain analysis gives you feedback about the quality of the
       technology library you are using.
    5. Capacity.  We have synthesized ~3M gates block in about 5 hours
       on a Linux machine.
   
    Weaknesses:
   
    1. Early on, the release was not stable.  But I am realist.  Any new
       tool will have that issue.  As I am witnessing that the latest
       release is much stable.
    2. Had few problem with formal verification.  Again on the earlier
       releases.  Haven't had any issues lately.
    3. New tool, not much tapeout yet.  Would like to see more tapeouts.
    4. Want to see smooth change over from DC environment.  A DC script
       has too many things that takes time to translate to Blast Create.
   
    My team and I have done 7 designs using Magma tools, two of them are
    from RTL to GDS; the rest are from netlist to GDS.  Two of the designs
    were quite large -- hierarchical physical designs.  We used Blast Plan
    for the partitioning and floorplanning.  Blast Plan worked perfectly
    well for us.  Block level pin assignment had some problems.  But since
    the Magma tools have an open database, we quickly worked around the
    issues.  In the netlist to GDS designs, the netlists were from DC.  No
    encounter with Get2Chip netlist.
   
        - Moazzem Hossain of Fastrack Design
   
   
    Blast Fusion is very good on timing closure.  Overall design time is
    reduced.  It also integrates the SI and IR analysis engine into P&R
    process and that is very important to deep sub-micron design because
    designer can see the SI and IR effect in very early stage.  Its GUI
    environment is not so user friendly.
   
        - Peter Hsu of Goyatek
   
   
    Magma's Blast Fusion is looks good.
   
    Also like Magma Blast Prototype.
   
        - Gangadhar of DigiPro Design
   
   
    I think Magma still has the edge.
   
        - [ An Anon Engineer ]


    I was very impressed with the Magma suite of tools, too bad we cannot use
    them as we are unable to tie in our router or our architecture with their
    router, but a very impressive tool nevertheless.  It will be interesting
    to see how the 'complete solution' battlefield plays out with Cadence,
    Synopsys and Magma.  My money is on Magma.  The others have acquired
    companies with great individual tools, but have yet to demonstrate a
    truly seamless solution.
   
        - Nicco Bhabu of Chip Express


    We have Blast Fusion.  The backend people seem to have worked a lot
    through its bugs and we are comfortable with it.  I do not think we will
    be getting PhysOpt or other tools in the near future.
   
        - [ An Anon Engineer ]
   
   
    If the definition of winning is how many seats sold already, then
    definitely the big guys (PhysOpt/Encounter) are doing well.  From
    technical point of view, only solutions with concurrent considerations
    for issues such as noise and DFM will have a chance to realistically
    sustain 65 nm designs.  With that, Sequence's Physical Studio and
    Magma's solution will be clear winners.
   
        - Weikai Sun of Volterra
   

    I consider myself as a power user of Astro and Apollo tools, and I have
    used other tools such as Silicon Ensemble.  I have done about 20
    tapeouts recently, some of them very large ones, and I mostly do the
    entire design from netlist to tapeout.  Timing closure is the biggest
    problem we face for tapeouts.
   
    Blast Fusion's Strengths:
   
     1. Nice Tcl interface.
     2. Easy library preparation based on standard formats -- needs to
          be done only once.
     3. Very easy power planning -- doesn't create DRC errors.
     4. Very good clock tree synthesis; I haven't tried gated clocks.
     5. Accepts full SDC unlike other tools.
     6. Good Timing Reports helps to identify issues.
     7. Good physical optimization to attain timing convergence; Blast
          Fusion's main value.
     8. Decent routing -- integrated with physical synthesis and
          placement.
     9. Easy specification of wide wires to prevent EM issues.
    10. Extraction & Noise Analysis integrated well to avoid reading
          and writing of huge RC data files.
    11. Hold Fixes, Repeater insertion are very smooth (part of timing
          closure).
    12. Features to do extra tweaks by the user.
    13. Automatic antenna fixing, diode insertion, notch & fill (for
          manufacturability for .13 um).
    14. Automatic DRC fixing (nice feature): getting clean GDS out is
          critical.
    15. Pretty decent reports.
    16. Easy ECOs through Tcl.
   
    Blast Fusion's Weaknesses:
   
     1. Their GUI needs a lot of work.
     2. Turning on/off of layers, levels, etc. is cumbersome.
     3. Totally a 'flat' tool, and there is no feeling of hierarchy 
          handling.  Magma says this functionality is in Blast Plan.
     4. Floor planning capabilities for pin assignment, pin optimization
          are virtually non-existent.  Magma says this also is part of
          Blast Plan.
     5. Tool crashed quite frequently.  I don't know if this just getting
          used to the tool as a new user or if there is a more stable
          version than is used for training purposes.
   
    I haven't done a tapeout using Blast Fusion yet, otherwise, my list
    would have grown a lot more.  All in all, Blast Fusion's biggest
    strength is its timing closure capability and it's adaptability to a
    design flow.
   
        - Rao Pokala of Swati Design
   
   
    I use Magma's Blast Fusion, Blast Plan and Blast Noise for Airgo's
    wireless products such as WLANs.  Specifically, I used Magma to tapeout
    a full chip design which was 5M gates, 120 MHz, using Artisan libraries
    for 0.13um TSMC process.  I wrote a paper for the Magma's user group
    meeting, and below are experts from my paper, plus some additional
    thoughts.
   
    Blast Fusion / Blast Plan
   
    Pros
   
    1. Most of my physical implementation and optimization flows and
       work can be done in a single tool environment and data model.
   
    2. I created a Magma netlist-to-GDS flow from scratch and was able
       to do the design hierarchically in less than 3 months from flow
       conception to tape-out -- even though the design's netlist and
       timing constraints were still very dynamic.  This is a big change
       after years of having to integrate multiple point tools, and
       iterate between analysis and optimization tools.
   
    3. ECO flow using 'fix eco', cell sizing and buffer insertions,
       including wire sizing and spacing and noise driven post route
       optimizations.  ECOs based on point tool timing and noise
       verification was minimal.
   
    4. Top level clock skew balancing between multiple clocks (rev 3.2)
       worked well, although there are still some issues to work out in
       the Magma supplied hierarchical optimization.
   
    5. Full chip, one pass P&R w/ noise was 6 days from netlist to GDS.
   
    6. Mtcl: "Just takes a few lines of Mtcl to do what you need done."
   
    7. Ability to work hierarchically via Blast Plan.  We are seriously
       considering Blast Plan Pro for hierarchy exploration to improve
       top level optimization of both area and power reduction.  We hope
       it will help us address how logical hierarchy impacts yield and
        cost.  
   
    Cons
   
    1. Only pin alignment was done with automation. Pin optimizations
       was done manually to get the pins to the correct edges of the
       block.  As I understand it, pin optimization capability is
       available in version 4.0, including assigning buses, net groups
       and pin optimization that is virtually flat or global route-
       based.  We will evaluate that before starting the next project.
   
    2. Structural glass box memory image reduction was only around 60%.
       We need 75% reduction to run top level on Linux boxes. 
       Structural was only used because of "bug" in timing driven
       glassbox creating with MUXed clocks.
   
    3. Power router had difficulties connecting pads to core ring, and
       rails to core ring.  Top level power implementation requires
       manual work to be LVS/DRC clean and to pass point tool power EM
       analysis.  Again, Magma claims to have fixed this -- we will
       evaluate it.
   
    4. Clock latency estimations with Elmore were off as much as 30%
       from AWE.  This caused us to have to use fully routed glassboxed
       from fix clock on at the top level.
   
    Conclusion:
   
    Overall, I am very happy with Magma's solution -- my assessment is that
    Magma has the most complete and fully functional solution for digital
    standard cell design that is commercially available.  Even so, as power
    users, we are continuing to push the limits of their tools and push
    Magma for continuing improvements, as noted above.
   
   
    Blast Rail:
   
    I am currently evaluating Magma's Blast Rail power planning and
    analysis tool, but have not yet used it in a production environment.
   
    Pros:
   
    I like Magma's approach to integrating of analysis and optimization via
    an accessible data model resident in memory, and believe this will be
    necessary as power constraints become more predominant.
     
    Cons:
   
    I think Magma needs to add more functionality to optimize the power
    grid with minimal guard band. Their power analysis will not only have
    to take into account EM effect, but it will also have to be better
    integrated with the delay calculator and static timing analysis engine
    in order to take into account IR drop, and ensure that any IR drop
    present will not impact circuit reliability or functionality.
   
   
    Blast Create:
   
    I am about to evaluate Blast Create (RTL to placed Gates).  I like the
    idea of using Blast Create as part of an RTL "what if" flow to give
    designers quick feedback (minutes) on the "real" timing of their
    modules. Our RTL logic designers need that quick feedback to thoroughly
    explore scenarios and to come up with solution that has no timing
    interactions through the back end flow.  I don't have any comments yet
    on the actual usability of the tool, as we are just beginning our
    evaluation.
   
        - Mike Newman of Airgo Networks from ESNUG 421 #10
   

    Magma Blast Create

    Blast Create is the Magma synthesis front-end tool.  On the plus side,
    it can handle 5M gates flat - the largest capacity of the tools I saw
    demo'd. This is accomplished thru their gain-based-synthesis methodology
    (which claims to have 10x the capacity and 10x better throughput than
    comparable tools). Gain-based-synthesis creates a supercell for each
    logic function that represents all drive strengths of that function.
    Synthesis is performed with supercells (fewer cells ® better performance
    and higher capacity). The supercells get replaced in physical synthesis
    based on a timing cost function. Magma claims that logic restructuring
    is more efficient with supercells, making the entire process more
    efficient than the traditional synthesis flow of elaboration,
    structuring, mapping, optimization, and buffer resizing. The underlying
    principle is to push as much physical information into the synthesis
    process to produce a better architecture, rather than trying to optimize
    or buffer a non-optimal architecture. Seems like a reasonable concept
    and from what I've heard from the Magma users I know - it just works for
    big designs.  However, the floorplan tool in Blast Create is weak (the
    users I know use First Encounter) and there is no support for BIST. The
    next release of the tool will provide support for JTAG.

        - [ An Anon Engineer ]


    Magma's Blast Fusion RTL-to-Layout flow is certainly interesting.
   
        - Clifford Cummings of Sunburst Design
   


 Sign up for the DeepChip newsletter.
Email
 Read what EDA tool users really think.


Feedback About Wiretaps ESNUGs SIGN UP! Downloads Trip Reports Advertise

"Relax. This is a discussion. Anything said here is just one engineer's opinion. Email in your dissenting letter and it'll be published, too."
This Web Site Is Modified Every 2-3 Days
Copyright 1991-2024 John Cooley.  All Rights Reserved.
| Contact John Cooley | Webmaster | Legal | Feedback Form |

   !!!     "It's not a BUG,
  /o o\  /  it's a FEATURE!"
 (  >  )
  \ - / 
  _] [_     (jcooley 1991)