( DAC 02 Item 16 ) ---------------------------------------------- [ 9/10/02 ]

Subject: Magma Blast Plan/Prototype/Fusion, Sequence, Incentia, Golden Gate

WEIRD AND UNEXPLAINABLE:  If you look at earlier parts of this report it
shouldn't be surprizing to find PhysOpt users saying bad things about
PhysOpt's rivals.  The PKS users say the same things about non-PKS tools.
And Monterey users are just as pro-Monterey/anti-not-Monterey as everyone
else.  But one very surprizing thing (or at least it was surprising to me)
is you won't find *any* Magma users jealously defending Magma tools here!
Not one.  I emailed my DAC EDA user survey out twice to all 15,000 ESNUG
subscribers.  Once in late July, another in early August.  In ESNUG 395 #1,
the head of the Magma user group and I called for more Magma discussion in
ESNUG.  We even managed to get that request out on the EE Times web site!
http://www.eedesign.com/news/OEG20020712S0062  And what were the survey
results?  A whopping 4.5 actual Magma users wrote.  The first one wrote to
say "add one more to the Magma tape-out count", but wouldn't let me publish
his 2 sentence letter even anonymously.  The second "user" wasn't an actual
user, but more someone just testing Magma.  This guy only wrote one sentance
saying Magma P&R managed to work on "a really weird block" that PhysOpt was
struggling on.  The third guy wrote from Toshiba saying that he used Cadence
FE/SE and Magma and then wrote in technical detail of *Monterey's* strengths
over Cadence & Magma. (!?) (His comments are in the Monterey section of this
report.)  The last two guys wrote:

    "We are using Magma's BlastFusion, but starting from a synthesized
     gate level netlist.  We use Synopsys DC to get our netlist.  We are
     happy with Magma's performance and support.  We look forward to new
     releases with speed improvements.  We use Magma's floorplanner with
     no issues."

         - Mehran Bagheri of Multilink Technology

    "We did an eval about a year ago between Synopsys (PhysOpt), Magma
     (BlastFusion) and Monterey (Dolphin), on a very complex design.  Our
     bottom line was:

       PhysOpt: number one timing results but had no router engine.

       Magma: second place with timing results.

       Monterey: third place with timing results, couldn't finish detail
       route (with some DRC & shorts violation left).

     So we built the magic formula of Synopsys PhysOpt for placements, and
     routing it with Magma.   So far, in our view there's no one absolute
     tool for RTL-to-GDSII flow."

         - [ An Anon Engineer ]

And that was it!  I went digging and found out that the last time anyone
anywhere had written about Magma as a user (outside of the controlled
environment of a press release) was 15 months ago in ESNUG 374 #7.  Huh?
I've found lots of PhysOpt/PKS/Dolphin chat going on in that timeframe.
Even Incentia (ESNUG 394 #14) and Sequence (ESNUG 399 #7) have had more
user discussion than Magma has over that past 15 months!


On the investor side, Magma's been weird and unexplainable, too.  Magma
IPOed in November '01.  Seven months later, Magma posts the first quarter
where it actually made a small profit (i.e. it was no longer losing money.)
Good news, yes?  Well, Wall Street responds with Magma shares going from
$13.50 down to $9.72 -- a 28 percent drop.  Three weeks later, Magma's CFO
resigns.  Investors have been brutally shorting Magma shares since day one.
Magma shares being shorted as as a percentage of its floating shares:

               Dec. 14, 2001      ### 2.9%
               Jan. 15, 2002      ###### 6.1%
               Feb. 15, 2002      ######## 7.8% of Magma shares shorted
               Mar. 15, 2002      ######### 8.7%
               Apr. 15, 2002      ########## 9.8%
               May  15, 2002      ############# 12.7%
               Jun. 14, 2002      ############# 12.8%
               Jul. 15, 2002      ############### 15.2%
               Aug. 15, 2002      ################# 16.6%

In contrast, the August 15 data for the remaining Big Four EDA vendors:

                     Cadence      ### 2.5% of shares shorted
                      Mentor      ###### 5.9%
                    Synopsys      ####### 7.0%
                    --------      -------------
                     average      ##### 5.1%

Every stock has it's naysayers shorting it.  Every one.  The problem here is
that Magma has 3.3X naysayers for each naysayer its average rival has.  The
other problem is that normally the number of shares shorted goes up & down
every month.  So far in Magma's 9 month history, shares shorted has only
gone up.  Why this is, I do not know.  (But I *must* caution you here that
Wall Street is a place where a web site with a sock puppet and a good story
can temporarily earn millions in stock evaluation.  I personally don't put
too much into what the Wall Street weenies think about an EDA company.)

Anyway, Wall Street oddities and missing Magma users aside, here's what the
EDA window shoppers and analysts had to say about Magma this year:


    "In physical synthesis over the next 12 months, it's going to be

                      1.) Synopsys
                      2.) Magma
                      3.) Cadence
     or
                      1.) Synopsys
                      2.) Cadence
                      3.) Magma

     The first guy will make money, the second will break even, and the
     third will lose money."

         - Gary Smith of Dataquest at the DAC 2002 pre-briefing


    "You don't want me to comment on Magma.  I have a negative impression of
     them.  Their demo people had difficulty speaking English, and I had one
     of them get upset at me for asking questions at their DAC demo suite.
     I got the impression the person running the demo had set things she
     wanted to bring up, and I was just an annoyance.  I was asking about
     move bounds, feedthroughs, etc.  The things I was interested in.  They
     were all technical.  Some of my questions were put off as being
     discussed in other presentations.  Some were put off to later.  (She
     usually got to them).  Others were just, "Wait, I need to discuss this
     now..."  I felt like my questions were unwanted and they wished I
     wasn't there.  (I also didn't get everything answered).  This turned me
     off on Magma.  I spent my remaining time elsewhere."

         - Maynard Hammond of Scientific-Atlanta


    "I liked the Magma tools for a bunch of reasons but again, our needs
     here do not merit a super-integrated, super-fast, high capacity
     solution.  Monterey is definitely losing as is Sequence.  Avanti
     Jupiter/Saturn will probably give way to PhysOpt which is a good
     tool but expensive.  Cadence PKS is probably a second (distant
     second) with PhysOpt from Synopsys the clear leader."

         - Jai Durgam of SiImage


    "I think of the word 'Magma' the way that Dr. Evil says it in the
     Goldmember movie.  'Magggg-ma'."

         - [ An Anon Engineer ]


    "Magma BlastFusion - best demo in the suite I had this year.  Looks like
     the tool has really matured, they have some very good/competant AEs,
     hope they stick around!!  Claimed to have done a 6M gate synth,
     rtl2gate only, no timing opt, but still impressive.

     Cadence PKS - we use, so we know it inside out, they have improved the
     runtime etc now, as compared to 1.5 yrs back.

     Synopsys PhysOpt - saw the suite demo, too high level & focused on
     their new CTS introduction which they want to sell as another tool,
     SNPS always good at "nickel-n-dime"!!  Don't like that.

     Sequence - saw their suite demo, seem to have a good product, wonder
     how they will compete with CDN, SNPS, & Magma."

         - [ An Anon Engineer ]


    "Magma now sells a design planner called Blast Prototype, which goes
     from RTL to a hierarchical floorplan and SDC constraints.  It is
     further divided into two other products; one that does mostly front
     end analysis and one that does floorplanning.  I know that for at
     least some parts of this they use the same engines that their tools
     will eventually use for final implementation, but avoid the more CPU
     intensive algorithms, so your results with the planner will be mostly
     the same as your final results (a few nets may be way off, though),
     but you get results a lot faster.

     Magma also sells a design planner called Blast Plan, which is the back
     end part of their Blast Prototype tool.  It seems to compete against
     Cadence's First Encounter.  It does hierarchical floorplanning and SDC
     constraint generation.  I don't know exactly how detailed the output
     is (i.e. does it have power/ground bussing, legalized placements, etc.)

     Silicon Valley Research sells a floorplanner, which is tuned to their
     place and route tools.

     Golden Gate Technology sells a power/ground design tool.  It apparently
     does geometry only; they are teamed with Sequence Design for analysis."

         - John Weiland of Intrinsix


    "I think DAC pretty much laid out that SPC is still the leader.  But
     that lead is not by much any more.  The new InTime product is pretty
     amazing.  Compared to last year when InTime displayed a very
     that's-nice-but-what-is-new-about-it product, this year it's
     new offerings and STA tools are really impressive.  I did not talk
     to InTime much about their biz model for it - but if it is price
     competatively with SPC - I think InTime is a better long term deal.
     They seem to be moving in the right direction to handle 65 nm and
     45 nm while they are addressing the 130 nm/90 nm market.  My
     impression is that First Encounter is going to get bogged down under
     the acquisition and not be as aggressive at addressing the next
     process options - which makes them a 2 year tool.  InTime will be
     there for at least 4 process spins so it is a much more capable tool
     for integration into a DSM flow.

     Magma rolled out their prototype tool.  Nice if you're in the Magma
     flow.  It very nicely continues the trend they are pushing of 'the
     best integrated flow in the industry' -- but I think it will be very
     hard retrofit into flows that are using other Cadence/Synopsys/Avanti
     tools.  It is a very good V1.0 release, but I think they need a 
     killer feature in it to compete in 3rd party flows with SPC or InTime."

         - Pallab Chatterjee of SiliconMap


    "Magma Blast Prototype accepts RTL and supports black boxes.  With RTL
     code it does a synthesis with their gain-based synthesis engine (the
     main product of Magma) plus a fast placement and routing of the
     generated - so called - SuperCell clusters to create ESPs (Early
     Silicon Performance) reports to estimate silicon performance early
     in the design flow.

     There are also structural and DFT checks built into the tool done
     also on RTL level.

     Blast Prototype has a very useful visualization capability for timing,
     connectivity and clock domains within the view of the RTL prototype to
     easily identify potential areas of problems and enable exploration of
     different architectural and logical partitioning choices.

     After a first analysis it is possible to generate partitions of the
     design as well as SDC constraints to enhance the traditional synthesis
     on block level.  It is also possible to generate a hierarchical
     floorplan with power structure planning, pin optimizations, repeater
     insertions, ... to be fed into the backend flow."

         - Raimund Soenning of Philips


    "Monterey and Magma are coming up strong.  They offer better backend
     flow than Cadence and Avanti.  Cadence PKS has trouble with the tool
     interfaces and the flow is a problem."

         - William Lam of Tvia


    "Magma BlastFusion and Synopsys PhysOpt are the front runners.  If
     Cadence integrates PKS well into SoC encounter, they have a chance
     to gain ground.  The destiny of Avanti Jupiter/Saturn will be decided
     by Synopsys.  Sequence PhysicalStudio has a nice niche market in
     signal-integrity conscious optimization and can be used as an add-on
     to virtually all of the above.  Monterey Dolphin has a chance to
     survive if they find a strong partner to merge with."

         - [ An Anon Engineer ]


    "We've used Incentia physical synthesis tool, DesignCraft Pro, and STA
     tool, TimeCraft, on our recent designs.  We had struggled with timing
     closure between synthesis and layout until we tried Incentia physical
     synthesis.  It improved about 10 ns on the critical path.  We already
     taped out the design using the result from DesignCraft Pro.  We're
     impressed."

         - Frank Lin of Divio, Inc.


    "Incentia recently went into the physical synthesis area.  They have had
     a synthesis tool for more than a year now and have a few tapeouts under
     their belt with that product.  They claim their static timing analysis
     tool is the fastest anywhere, and that capability allows their
     synthesis tool to close timing faster than Synopsys.  Incentia's
     strategy for STA and synthesis has been to look as much like Synopsys
     as possible; I don't know if that carries over into physical synthesis.

     I must admit Mentor perplexes me.  They have competitive (and sometimes
     best-in-class) tools in DRC/LVS/extraction, scan insertion and ATPG,
     simulation and formal verification, and a very strong IP business, but
     Mentor has a huge hole right in the middle - synthesis, place & route.
     I wonder what would happen if they got together with Magma."

         - John Weiland of Intrinsix


 Sign up for the DeepChip newsletter.
Email
 Read what EDA tool users really think.


Feedback About Wiretaps ESNUGs SIGN UP! Downloads Trip Reports Advertise

"Relax. This is a discussion. Anything said here is just one engineer's opinion. Email in your dissenting letter and it'll be published, too."
This Web Site Is Modified Every 2-3 Days
Copyright 1991-2024 John Cooley.  All Rights Reserved.
| Contact John Cooley | Webmaster | Legal | Feedback Form |

   !!!     "It's not a BUG,
  /o o\  /  it's a FEATURE!"
 (  >  )
  \ - / 
  _] [_     (jcooley 1991)