( DAC 02 Item 15 ) ---------------------------------------------- [ 9/10/02 ]

Subject: Monterey Dolphin, Sonar, & Aristo IC Wizard

COMING OUT, GUNS A BLAZING:  In the 4 years since its founding, Monterey
has been the laughing stock of the physical synthesis race because they had
no know user tape-outs.  Oh, Monterey played the game all right, issuing
press releases and appearing in occassional stories in the trade rags -- but
*anyone* can say *anything* in a press release.  News stories full of quotes
from Monterey employees mean nothing.  Nobody took them seriously.  Then
about 3 months ago, I started receiving a number of detailed Monterey user
letters.  ESNUG 396 #2 and ESNUG 397 #6 had 2 Infineon, 2 Canon, and 2 Zoran
tape-outs.  Below you'll find 5 more tape-outs or near tape-outs from users
responding to my DAC Trip Report survey.  These aren't B.S. press releases.
They're not news "stories" with hand picked customer VPs who have no actual
hands on experience with the Monterey tools.  Instead, they're actual
Monterey users bitching & moaning about the bugs they ran into while using
Dolphin and Sonar.  In short, Monterey now has *working* physical synthesis
and these hands-on user letters tell me that it's real.


    "Of course there were some bugs in Monterey's Dolphin like other tools:

      - Generating unconnected lines when dragging out IO port
        from Macro cell.

      - Reading out undefined constraints in SLF when outputting
        SDF after Dolphin Layout.  

     There were other bugs, but Monterey's quick response fixed them.  Plus
     they cope with our enhancement requirements very well.

     Canon's standard Language is VHDL, which Dolphin cannot read, but this
     is easy to do convert through Synopsys Design Compiler.  Of course I
     hope Dophin will be able to deal with VHDL in the future.

     Dolphin's upside is that timing closure solution is especially good.

     Monterey released Dolphin Ver.2 which includes "useful skew" feature at
     the end of last year.  This feature enables max skew time to be set to
     a certain value with only one command, for example:

             useful_skew_setting -synthesis on -set_max_skew 4.5

     As you may know "zero skew" is a general method for timing closure,
     but the "zero skew" method sometimes can't provide proper netlist even
     when timing is met.  Zero skew gives many critical paths and of course
     it takes much time.  I don't know any other tool which includes "useful
     skew" except celestry's ClockWise other than Dolphin.

     Anyway, good things of Monterey's tool are, in short, Dolphin includes
     every function: logic optimization, power routing, clock tree
     synthesis, placement, routing, extraction and timing analysis, and it
     does not require very much script writing.  Our design team was off the
     ground in the beginning of 2001, only 3 engineers (now 6 engineers).
     They are not EDA engineers but ASIC designers.  So we needed "Push
     Button Solution" as a back-end design, if possible.  We were able to
     install Dolphin, set up our production design flow, learn to use it,
     and tape out our first chip in less than six months.  We already taped
     out 2 chips with Dolphin."

         - Hiroyuki Nakamura of Canon


    "We taped out *two* 0.15u multimillion gate hierarchical designs -- more
     than 5m total placeable objects with both internally and externally
     developed custom IP blocks -- using nothing but IC Wizard, Sonar and
     Dolphin for floorplanning and P&R.  Synopsys Design Compiler was used
     for synthesis to generate the gates fed to Dolphin.  We used Simplex
     VoltageStorm for IR analysis.  Simplex extraction and PrimeTime were
     the timing signoff tools.  A customized version of "magic" was employed
     for custom layout and flip chip work.  Did LVS and DRC with Calibre."

         - [ An Anon Engineer ]


    "Currently, we're taping-out a chip for Netlogic MicroSystems in using
     Monterey tools.  Here's our feedback based on 8 weeks of tool usage:

     Strengths:

        1) Ease of Use:

           ICWizard + Dolphin -- we were able to go thru first-pass P&R in
           less than 2 weeks.  Dolphin is easy to use, and due to its TCL
           interface, it's easily customized.

        2) QoR:

           Dolphin's setup as well as max-trans optimization are quite good.
           They seem to have a good engine under the hood.  Even the router
           is pretty good.  We took our first-pass P&R results thru Hercules
           and we were surprised to see clean LVS with a very few DRC's
           (only on some arrayed-vias which could be tech-file related).

           Their clock-tree-synthesis engine is also very good.  We tried
           both flat as well as hierarchical CTS (by specifying insertion
           delays of lower-level blocks), and we got predictable results.

           Dolphin provides a very good interface to path tracing, and
           creates a cool "critical-path schematic" which you cross-probe
           into layout.

        3) Database mgmnt:

           Both IC Wizard and Dolphin read standard formats (LEF, DEF, SDC
           constraits etc.), which makes it easy to start a new design.  I
           liked their concept of "checkpointing", which allows a user to
           "snap-shot" a solution at any given point in design.  All the
           necessary files (netlist, floorplan, constraints etc.) are
           encrypted and put in a separate directory for a given checkpoint,
           so all it takes for another user or a Monterey AE to look at
           someone's work, is to ask for the checkpoint directory.

     Weaknesses:

        1) A lot of Dolphin steps (e.g. placement, max-trans optimization,
           setup-opt, CTS, hold-opt etc.) are bundled in "macro-commands".
           (For example, their all encompassing command: "run".)  At times
           I felt at loss of "knobs and switches" for cutomization.  I
           needed a Monterey AE to onion-peel some of their macro commands
           to give you the level of control I wanted.

        2) IC Wizard still uses some Aristo format files, which Monterey is
           in the process of obseleting.

        3) Dolphin CTS does not provide a whole lot of control on
           "insertion-delay".  We had a scenario where there was a block
           that received a late clock, and CTS needed to really reduce the
           insertion delay, even at the expense of worsening the skew a tiny
           bit.  But we could not find a way to do that.  Even worse, there
           are no "cts levels" controlling knobs like in Apollo where you
           can specify how many levels of buffering you want, etc.  Since
           mis-match in insertion delays for blocks translate to skew at the
           next level, it is extremely important for P&R tools to perform
           CTS with both insertion-delay and skew as equal importance
           constraints.  Also, over 400 Mhz, most about everyone wants to
           customize the clock-tree, which requires P&R tools to provide
           features like controlling the levels and number of buffers/type
           at each level as well as handling pre-routed clock-trunks etc.
           This seemed to be absent in Dolphin.

        4) The Dolphin router is relatively slow.  We want it faster.

        5) The Dolphin router does funky jogs when routing to hard-macro
           pins, where you would expect it to do straight shot routes.
           Monterey describes this behaviour as off-grid pins, but we are
           not convinced.  They're working on this.

        6) Dolphin's Seascape GUI has troubles selecting dense/overlapped
           geometries while clicking due to what they describe as "not on
           grids" etc., which we find hard to believe.

        7) The Seascape GUI doesn't have good set of pull-down-menu commands
           for common tasks like selecting and zooming to selected objects,
           or selecting and viewing properties of multiple selected objects
           by cycling thru each selected object etc.

        8) Dolphin's abstract generator (LEF generation) creates extra pins
           for some shapes near the boundaries during block abstraction,
           which caused some pain for hierarchical methodology.

     Overall we're mostly happy with Monterey.  They've been very responsive
     to our issues.  Their pluses outweight their minuses."

         - Bharat Gohil of SiNTEGRA, Inc.


    "I did see Monterey's Sonar/Dolphin tool at DAC this year.  I very much
     appreciated Monterey's approach of getting a real user that gave their
     experience using the tool set.  The user gave both the good and the bad
     and it was clear  the good far outweighed the bad.  Magma, Synopsys and
     Cadence have good backend tools, but they still appear to do all the
     layout tasks sequentially.  Monterey's approach impressed me and that
     is why we decided to adopt their tool set for our COTs flow.  As soon
     as we get thru our first design using their tools, in ESNUG tradition,
     I will be able to let you know the gotcha's we found."

         - Kevin Guy of Astek Corporation


    "Regarding IC Wizard:

      1) The GUI is not very intuitive, and it alphabetically lists it
         commands, as opposed to being a task-oriented listing.

      2) Their files management uses a library/folder/portfolio/cabinet
         mechanism, which we found a bit clumsy.  The database saving
         mechanism is not very efficient, since it creates versions of your
         designs, as opposed to user-defined names for designs.

      3) Most bind-keys are counter-intuitive and are much different than
         Apollo, Virtuoso or other main-stream tools causing a lot of
         troubles.  For example, if you happened to have selected a set of
         pins, and you wanted to PAN, if by mistake you click on <-- or -->
         key, instead of panning, your selected objects will MOVE !

      4) Cannot select and interactively move and manipulate pins so you end
         up hacking ACF (Aristo Constraint) files."

         - Bharat Gohil of SiNTEGRA, Inc.


    "We are currently using Monterey Sonar and Dolphin tools on 0.13 um ST
     process.  Our Designs (two 1M gate blocks + RAM's among a 8M+ gates)
     have made Synopsys/Avant tools to dive into congestion issues and
     timing problems.

     The "Nice" things we see in Monterey vs. Synopsys/Avanti solution is:

       1. Dolphin is a single design platform from netlist to GDS -- no need
          to worry about passing data to/from tools.  So we get rid of funny
          things like Avanti tools not understanding timing exceptions like
          Synopsys, modelling issue for tristate cells ...

       2. Clocktree synthesis is part of the timing optimisation -- no need
          to overdesign based on skew budgets, hold fixing is part of
          optimisation run, no extra loop post routing needed -- which often
          breaks some setups.

       3. The prediction feature in Sonar is working -- at least if you do
          not push the design to the limit -- and saves time, speeding the
          iteration process.  Really helpful to debug the timing aspects.

       4. Scripting is easy and reduced.  It was 3 pages versus 15 pages.

     The "Not so Nice" things:

       1. Today's version is consumes more memory than the competition.

       2. Integration of IC Wizard floorplanning with Sonar/Dolphin is not
          yet done.

       3. Although it's useful, Sonar's prediction feature is not 100%
          trustable when pushing the design to the limit -- but I do not
          know of any tool able to do this today.

       4. some features exist which are not so useful -- like terminal
          maps of IR drop.  It's not precise enough.

     Overall I strongly believe that Monterey is ahead what we have seen so
     far.  How it proliferates is another story as it is a small company."

         - Francois Remond of STMicroelectronics


    "I have not seen any real market push or killer technology from
     Monterey.  The Avanti/Synopsys Aristo stuff is the same since 2 years
     ago.  Same customer testimonials and benchmark data.  I am not sure
     who is looking at them.  All the folks I talked to only mentioned
     SPC, InTime and Magma.  Monterey would not let me into their suite
     this year (not sure why) so I only saw the product on the floor.  It's 
     OK, I guess.  Seems like a lot of money for just OK."

         - Pallab Chatterjee of SiliconMap


    "I did see a demo of Monterey Dolphin at DAC, and I heard their customer
     presentation by Zoran, as well.  The most impressive thing to me about
     Monterey is their business model.  You pay for the s/w at tapeout.  The
     Zoran guy made a big deal about it.  It aligns Monterey's interests
     with mine.  I like that.  Our company is a small start-up and would
     benefit from the lower cost of ownership as well.

     As for Dolphin features, I'm looking for a tool that does crosstalk
     aware routing, power optimization, and is easy to use.   It looks like
     Monterey can meet these needs.  It's hard to really know in a demo.

     I think Magma may have unique idea with their gain-based synthesis.
     This could produce a more optimum design faster.   We'll probably have
     to do an eval on both tools.  If they have similar performance, as far
     as I'm concerned Monterey will take the lead on the business issues!"

         - Cordell Prater of Prairie Communications


    "It's far too early to say anything definite.  But our first impression
     of Dolphin has been positive.  So far we have been playing with small
     (30 kgate) blocks to familiarize ourselves with the tools.  The routing
     gets done into timing.  Our clock-tree (which has clock gating) seems
     to complicate their CTS.

     The tools seems easy to use and should be easy enough for the frontend
     designers to get feeling of implementation issues (can't be bad thing).

     As for the gotchas so far: 

      - The Monterey tech-files are not available from the foundries.  This
        is not very suprising since Monterey is not the market leader.  But
        this is not a big issue.  Monterey seems to support tech-file 
        generation quite well.

      - Support for HP-UX (our 2nd choice) is not as good as for Solaris,
        and the HP version will be discontinued.  Linux (our 1st choise)
        support is not yet in place.

     In 2-3 of months we'll try to make a real tapeout with these Monterey
     tools.  When that is done I'll have realistic view of things."

         - Mikko Laiho of u-NAV Microelectronics


    "We're using Cadence (FirstEncounter/SE) and Magma (BlastPlan/Fusion)
     for ASIC chip implementation.  We don't use PhysOpt in our design
     center but we support DEF/PDEF interface for ASIC users who run
     PhysOpt.  Comparing with Cadence and Magma, Monterey's strength are

          - Concurrent process for chip implementation
          - Multithread process architecture

     As for concurrent process, Monterey has big benefit to reduce time
     for chip implementation.  Currently if we use Cadence tools, we have
     to go through serial processes by engineer:

         placement -> routing -> extraction -> delay calculation
         -> timing optimization -> signal integrity verification
         -> SI fixing -> extraction -> delay calculation -> timing....

     And it is very painful to use many point tools go through because of
     translations.  If it is true what Monterey sales talk, our job will be
     easier a little bit.

     Another Monterey strength is scalability because of multiple CPU usage.
     No one can accept to have 10 times run time because of 10 times complex
     SoC chip implementation.  Nice talk.

     Anyway, I don't trust claims by any EDA vendor presentation.  I trust
     benchmarks.  I don't have a benchmark at this moment."

         - Hideki Yamada of Toshiba


    "From their DAC presentation, Monterey appears to have a more seamless
     solution in getting from floorplan to final layout than Synopsys
     PhysOpt.  I think they both do a good job at considering all the design
     constrains during placement and optimization.  Things such as setup,
     hold and congestion.  Although my gut feel is that Monterey is more
     congestion aware than PhysOpt.

     As I understand things here Monterey has some difficulties fitting
     into our Avanti signoff flow.  PhysOpt would have similar issues except
     that we only use placement data from it and then let Avanti do the
     detailed route.  We also use some internal tools to help close timings
     that are in our sign off flow that neither can address.  But, with
     Monterey I don't feel we would need to use these internal tools as the
     design would close timings as it comes out of Monterey.

     This is from their DAC suite.  Reality may be very different."

         - [ An Anon Engineer ]


    "Based strictly on their DAC demos, Monterey seems to have a nice,
     integrated flow.  The feature I most liked is they can generate
     feedthoughs.  I want to have "abutted" blocks.  The only thing I use
     routing channels for is clock trees.  The Monterey tool looked like it
     would work well.  It also looked like it was highly integrated.  While
     at DAC I tried to talk to others who were using it.  The gossip I heard
     was that when it worked, it was great.  I also heard others tell me it
     was their last choice.  It seems like Dolphin works well for certain
     designs but falls on its face for others.  Again this is just the
     gossip I heard.  I have no real experience.  We're partners with ST.
     They really like IC Wizard but are still evaluating Sonar/Dolphin."

         - Maynard Hammond of Scientific-Atlanta


    "We have First Encounter/PKS in house, evaluated Monterey and Magma.  In
     my opinion, Magma has the best integration and user interfaces and runs
     fast on Linux.  Monterey's strength is at it's multithreaded engine and
     64-bit code, so potentially it could handle very big design, but we did
     not test that yet.  Monterey's weakness is integration.  It's not easy
     to use IC-Wizard together with Dolphin and has many problems need to be
     iron out, which means a user will have hard times in using Monterey's
     "hierarchical" flow.  Another Monterey strength is its Signal Integrity
     features are build-in.  Monterey does not have Linux port yet.  Their
     synthesis engine is not a full-blown synthesis engine, it seems doing a
     reasonable job during optimization though."

         - Tien Ying of Tvia, Inc.


 Sign up for the DeepChip newsletter.
Email
 Read what EDA tool users really think.


Feedback About Wiretaps ESNUGs SIGN UP! Downloads Trip Reports Advertise

"Relax. This is a discussion. Anything said here is just one engineer's opinion. Email in your dissenting letter and it'll be published, too."
This Web Site Is Modified Every 2-3 Days
Copyright 1991-2024 John Cooley.  All Rights Reserved.
| Contact John Cooley | Webmaster | Legal | Feedback Form |

   !!!     "It's not a BUG,
  /o o\  /  it's a FEATURE!"
 (  >  )
  \ - / 
  _] [_     (jcooley 1991)