( ESNUG 446 Item 18 ) ------------------------------------------- [09/01/05]
From: Gareth Baron <gbaron=user domain=modulusvideo pot calm>
Subject: How to Hook Up Debussy and Modelsim in an XP/NT Environment
Hi, John,
I thought this would be a useful post for some other DeepChip guys. I'm
constantly being asked how to hook up Modelsim to Debussy on an XP/NT
environment. If you use the automatic waveform update option in Debussy
it will automatically detect the update and reload the waveform after a
simulation.
To hook in Debussy with Modelsim:
1. Copy the NOVAS_FLI.DLL PLI module (usually located in
"C:\Novas\Debussy\share\PLI\modelsim_fli54" or similar directory)
to the "C:\Modeltech_5.4b\win32" directory. I copied all files to
be safe.
2. Setup your simulation environment by adding this code to you top
level module (or in a place where it will get called all the time
for compiles):
//---------------------------------------------
// Novas Debussy compressed dumpfile option
//---------------------------------------------
`ifdef DEBUSSY
initial begin
$fsdbDumpvars(0, `TOP_LEVEL); //what to dump
$fsdbDumpfile("dump.fsdb");
end
`endif
3. You have 2 options to get this running. You can use .f files or
a .v file.
In your .f file you must
a. `define DEBUSSY
b. `define TOP_LEVEL
eg. add the following lines
+define+DEBUSSY // output Debussy FSDB files
+define+TOP_LEVEL="top_module_name" // output Debussy FSDB files
or
In your .v file you must add
`define DEBUSSY 1
`define TOP_LEVEL "top_module_name"
4. Run your simulation script with the VSIM options
VSIM your_top_module -pli ./novas_fli.dll
5. In Debussy, look for the "dump.fsdb" compressed waveform file in your
output directory. There will be a load of other files which inform
Debussy about the vectors and the trees to recurse.
Use Debussy as normal. Run your simulations in Modelsim and then see the
results appear.
- Gareth Baron
Modulus Video, Inc. Sunnyvale, CA
Index
Next->Item
|
|