Editor's Note: Earlier this week I asked:

    "Synopsys announced that it was going to buy Avanti for $830 million
     in stock.  As a chip designer, do you think that this is good news
     or bad news?  Why?"

  Our industry has just dramatically changed and here are the responses
  I got back.  The next ESNUG post will be back to our normal banter
  about every known EDA tool under the sun (& Linux, too! [grin])

                                            - John Cooley
                                              the ESNUG guy

( ESNUG 384 Subjects ) ------------------------------------------ [12/06/01]

 Item  1: One Wall Street Guy's Thinking On The Synopsys/Avanti Merger
 Item  2: The EDA Vendors Themselves Reacting To The Synopsys/Avanti Merger
 Item  3: People Pissed That Gerry Hsu Gets To Walk With A Cool $40 Million
 Item  4: Customers Concerned About Synopsys And/Or Avanti Technical Support
 Item  5: Chip Architect, Hidden Dragon, Cadence Buying Silicon Perspectives
 Item  6: Troubles Mixing Avanti Milkway Databases With Synopsys Databases
 Item  7: Mixing Synopsys & Avanti Cultures Are Like Mixing Oil & Water
 Item  8: How This Synopsys/Avanti Merger Affects Cadence/Magma/Monterey
 Item  9: This Merger Is Generally A Good Match For Both Synopsy & Avanti
 Item 10: Merger Proves How Piss Poor Synopsys Physical Design Tools Are
 Item 11: So Synopsys And Avanti Are Merging?  Who The Hell Really Cares???
 Item 12: All I Care About Is FPGAs -- And This Has Nothing To Do With FPGAs
 Item 13: Merging Companies Are Going To Be An 18 Month Customer Nightmare
 Item 14: Yes! The Synopsys-DC-To-Avanti-Apollo Flow Will Get Full Support!
 Item 15: Hooray! This Means Cadence Lawyers Won't Kill Avanti Technology!
 Item 16: Damn! This Means Synopsys Is Going To Kill Avanti's Smaller Tools!
 Item 17: Aart's Going To Get Sucked Into The Cadence/Avanti Legal Quagmire
 Item 18: Are All The Chrysalis Users Are Going To Be Forced Into Formality?

 The complete, searchable ESNUG Archive Site is at http://www.DeepChip.com

( ESNUG 384 Item 1 ) -------------------------------------------- [12/06/01]

Subject: One Wall Street Guy's Thinking On The Synopsys/Avanti Merger

From: "Paul Meeks" <Paul_Meeks@ml.com>             

I like the tech fit, but it's very controversial because of the past
criminal activity at AVNT.  That's why SNPS's stock got hit (although it
also got an assist from the lowering of this year's financial guidance).

Since CDN collapsed yesterday, too, it might be the better buy without
the merger integration risk.  I think that these two EDA big boys, SNPS
and CDN, are interchangeable investments, and you buy and sell them based
on relative valuation.

    - Paul Meeks
      Meryll Lynch


( ESNUG 384 Item 2 ) -------------------------------------------- [12/06/01]

Subject: The EDA Vendors Themselves Reacting To The Synopsys/Avanti Merger

From: [ The Spouse Of An Ex-Synopsys Internet Guy ]

John,

If you use my comments, please do not reveal my identity.  Thanks.

I'm not a designer, but let me put in my two cents worth as a human being
affected by EDA.  My husband is one of the people who was laid off when
Synopsys pulled the plug on the Internet Design Services division.  Most of
those folks have not found jobs -- they've been looking since August.  Why?
Big hiring freeze at Synopsys since 9/11.  Same story in a lot of other
places.  Sure, terrorist strike hits, they need to save money.  

I know it's more complicated than this, but it's hard to reconcile the idea
that Synopsys desperately needs to freeze/trim headcount, but they can
afford to buy Avanti, you know?  There are hiring managers at Synopsys with
immediate needs who may be wondering how well-spent the money is, too.  

So, for what it's worth, from the point of view of the spouse of an
unemployed guy, I say the merger really sucks.

    - [ The Spouse Of An Ex-Synopsys Internet Guy ]

         ----    ----    ----    ----    ----    ----   ----

From: [ A Synopsys Employee ]

I think it is good news!

;-)

    - [ A Synopsys Employee ]

         ----    ----    ----    ----    ----    ----   ----

From: [ Another Synopsys Employee ]

Hi John,

I think it is a great news because Avanti is strong in the physical back
end and this is what Synopsys needs to make a complete flow for the customers
and make its tool suite stronger.  I think it is a win-win for both
Synopsys/Avanti and the customers.

    - [ Another Synopsys Employee ]

         ----    ----    ----    ----    ----    ----   ----

From: [ Yet Another Synopsys Employee ]

Until recently, I was a chip designer.  Currently I'm Synopsys employee.  In
either role, I think it's terrific.  The company will  probably deal with a
lot of merger pains, but in the long-run, it will prove to be the strongest
move to keep excellent technology and produce more for the next challenges.

    - [ Yet Another Synopsys Employee ]

         ----    ----    ----    ----    ----    ----   ----

From: Edmond Macaluso <edmond@z-circuit.com>

This will be Aart's biggest test.  

 1. The politics at every level and in every aspect will be stifling.
 2. The companies have some significant product overlap to resolve.
 3. They have a very different attitude towards being open vs being closed.

On the other hand, each company has some key brand technologies that don't
overlap or have insignificant overlaps: DC, PhysOpt, VCS, DFT/TetraMax,
PrimeTime, Apollo, Star-RC, Mars-Rail/XT, Hercules.

This is a fairly complete, high-end flow except for sorting out hierarchical
floorplanning.  This set of tools could carry them for a while, however, the
real test will be the ability to deliver the next-generation tools that can
keep the market share.

It will also be interesting to see what happens with interoperability.  In
the past, Synopsys was forced to promote openness to tie into the back-end.
Now that they own a back-end, will they be so open?

Cadence and Magma have already been working on their own complete flow.
What this merger does, is make it clear to everyone that there are 3 flows:
Synopsys, Cadence, and Magma.

    - Edmond Macaluso
      Z Circuit Automation                       Mountain View, CA

         ----    ----    ----    ----    ----    ----   ----

From: "Jan Alden Cornish" <jac@cadence.com>

John,

Were you planning to enlarge the scope of your newsletter to include Avanti
bugs?

    - Jan Alden Cornish
      Cadence Design Systems, Inc.


( ESNUG 384 Item 3 ) -------------------------------------------- [12/06/01]

Subject: People Pissed That Gerry Hsu Gets To Walk With A Cool $40 Million

From: "Aditya Goel" <Aditya_P_Goel@raytheon.com>

John

How can this be good?  Now Cadence can go after Synopsys if it uses the code
that Avanti stole from Cadence.  I hope the creep Gerry Hsu is kicked out of
the door and not allowed into the Synopsys management team.  If he is brought
into Synopsys management I will work to get our company not to use
Synopsys tools

    - Aditya Goel
      Ratheon

         ----    ----    ----    ----    ----    ----   ----

From: "Maynard Hammond" <maynard@subasic.sciatl.com>

John,

I think this is good news.  Now Synopsys will have a complete path from RTL
to GDSII.  If I were Cadence, I would be worried.  The main thing that has
kept us from getting Avanti software is the litigation.  Gerry Hsu should
have been kicked out of the company once he admitted to theft.  My sales rep
tells me he will NOT be at Synopsys.  I believe Synopsys will be able to put
and end to all the legal stuff soon.

    - Maynard Mammond
      Scientific Atlanta, Inc.

         ----    ----    ----    ----    ----    ----   ----

From: "Phil Hoppes" <phoppes@intersil.com>

Hi John,

Although this is a little off topic I need to say that I am totally disgusted
that Gerry Hsu walks out of this deal with $20M in his pocket.  That other 
people are in jail and he is not only unscathed out of this entire deal but
walks away with considerable cash in his pocket just speaks to the corruption
in the board rooms in America.  I've seen this kind of thing so many times in
the companies I've worked for, it just really gets my goat.

One sees a visual of Gerry driving away from Fremont in a white Bronco with
a bag of cash in the back seat.

    - Phil Hoppes
      Intersil, Inc.

         ----    ----    ----    ----    ----    ----   ----

From: "John Busco" <jbusco@brocade.com>

My reaction isn't technical, but more about the morality.  Did I hear right on
the conference call, that Synopsys will pay $40 million to terminate Gerry's
employment contract?  No jail time, fines paid by company, golden handshake
... crime DOES pay!

    - John Busco
      Brocade

         ----    ----    ----    ----    ----    ----   ----

From: "David Fishman" <fish@chips.Eng.Sun.COM>          

Do they get to fire the Avanti mgmt that isn't already in jail?  :)

    - David Fishman
      Sun Microsystems, Inc.


( ESNUG 384 Item 4 ) -------------------------------------------- [12/06/01]

Subject: Customers Concerned About Synopsys And/Or Avanti Technical Support

From: "Brad Sonksen" <bsonksen@transdimension.com>          

John,

I am not that concerned about Synopsys gaining to large a market share.
Avanti seems to be losing quite a bit of existing seats and also new
business lately due to performance and other usability issues.  I am
mostly concerned that Synopsys will not support other Avanti tools as
fully as they would support a flow that goes through the Synopsys/Avanti
path.

    - Brad Sonksen
      Trans Dimension, Inc.

         ----    ----    ----    ----    ----    ----   ----

John,

In general, we think it is good for several reasons:

 1. Most of our tool flow is Synopsys and Avanti:

     - Synopsys Synthesis, DC expert, PrimeTime, PathMill Plus,
       DesignWare, TetraMax
     - Apollo Place & Route, old Compass tools for Custom design
       (schematic entry, layout, DRC, LVS), and HSPICE (StarSpice)
     - Calibre for DRC, LVS, and ERC (Mentor)

 2. It will help guarantee a clean flow (long term) between tools without
    us trying to arbitrate who caused the problem.   Also, it is our hope
    that the merger will result in a clean database management system.
    (Maybe the Milkyway database extension.)  This helps solve RCS issues
    and database flow issues.
 3. The new company should be more stable and help resolve many of the
    issues that have been facing Avanti.  When buying new tools, the
    question is always asked if we should invest further in Avanti tools.
 4. Synopsys and Avanti have been working toward interoperability for some
    time.
 5. It appears that there is a good match between PhysOpt and Apollo as the
    preferred design flow.
 6. The company may be able to "package" tools when competing with
    Cadence or Mentor (potential cost advantage.)

Negative points:

 A. It is not clear if Synopsys will get a good nucleus of people both
    internal to Avanti and AE's in the field to help support the Avanti
    tools.  There were already many problems for the small guy and this may
    make it worse unless Synopsys invests in a new crew supported with good
    training.  We have been considering investing in "Master ToolBox" for
    library characterization work, but have had a huge problem in good apps
    support.
 B. Integration of Avanti into Synopsys will take quite a while and it may
    result in poorer short-term support (for the next year) before good
    long-term support is put in place.

Please keep me anonymous.

         ----    ----    ----    ----    ----    ----   ----

From: Kevin Tomasek <KTomasek@Zilog.com>           

I think that it was a wise move on the part of Synopsys.  They will have
access to a competent backend tool to make their current portfolio stronger.

In the long run I think that we will get better tools from Synopsys.  The
key phrase here is 'long run'.  Since they are the 500 lb gorilla which will
soon grow to 800 lb, I imagine they will become more difficult to deal with
(i.e. slower turns on fixes, more costly, generally more arrogant.)

As a user, I think it stinks.  The Synopsys support is the most difficult,
of the vendors that I deal with, to use and get answers from.

    - Kevin Tomasek
      Zilog

         ----    ----    ----    ----    ----    ----   ----

Hi John.

Call me anon.

Here's why this is a good (in fact, very very very good) change.  In my
company we have different setups we use to support the different Synopsys
versions.  We also have the same for Avanti.

Here's the list of setups we have for Synopsys (16 in all)

  primetime/1999.10-4
  primetime/1999.10-5
  primetime/1999.10-prod
  primetime/2000.05-1.prod
  primetime/2000.05-prod
  primetime/2001.06-BETA1Synopsys/1999.10
  Synopsys/2000.05
  Synopsys/2000.11
  Synopsys/ECO
  Synopsys/mc
  Synopsys/tx_2000.11_SP1_prod
  Synopsys/VSS1999.05-SIM1_dev
  vcsp/4.2
  vcs/5.1
  vcs/6.0

And here's the list of setups we have for Avanti (75 in all)

  avanti/2000.2.3.4.0.1
  avanti/2000.2.3.4.0.2
  avanti/2000.2.3.4.0.3.2
  avanti/2000.2.3.4.0.3.2.pt
  avanti/2000.2.3.4.0.4.1
  avanti/2000.2.3.4.0.5
  avanti/2000.2.3.4.0.6
  avanti/2000.2.3.4.0.7
  avanti/2000.2.3.4.0.7.2
  avanti/2000.2.patch4.preqa
  avanti/99.2.3.3.0.8
  avanti/99.2.3.3.0.EA9.2
  avanti/99.2EA.22
  avanti/99.4.3.3.0.13
  avanti/2000.2.3.4.0.1
  avanti/2000.2.3.4.0.2
  avanti/2000.2.3.4.0.3.2
  avanti/2000.2.3.4.0.4.1
  avanti/2000.2.3.4.0.5
  avanti/2000.2.3.4.0.6
  avanti/2000.2.3.4.0.7
  avanti/2000.2.3.4.0.7.2
  avanti/2000.2.patch4.preqa
  avanti/99.2.3.3.0.8
  avanti/99.2.3.3.0.EA9.2
  avanti/99.2EA.22
  avanti/99.4.3.3.0.13
  avanti/solaris7/32bits/2000.2.3.4.0.1
  avanti/solaris7/32bits/2000.2.3.4.0.2
  avanti/solaris7/32bits/2000.2.3.4.0.3.2
  avanti/solaris7/32bits/2000.2.3.4.0.4.1
  avanti/solaris7/32bits/2000.2.3.4.0.5
  avanti/solaris7/32bits/2000.2.3.4.0.6
  avanti/solaris7/32bits/2000.2.3.4.0.7
  avanti/solaris7/32bits/2000.2.3.4.0.7.2
  avanti/solaris7/32bits/2000.2.patch4.preqa
  avanti/solaris7/32bits/99.2.3.3.0.8
  avanti/solaris7/32bits/99.2.3.3.0.EA9.2
  avanti/solaris7/32bits/99.2EA.22
  avanti/solaris7/32bits/99.4.3.3.0.13
  avanti/solaris7/32bits/2000.2.3.4.0.1
  avanti/solaris7/32bits/2000.2.3.4.0.2
  avanti/solaris7/32bits/2000.2.3.4.0.3.2
  avanti/solaris7/32bits/2000.2.3.4.0.4.1
  avanti/solaris7/32bits/2000.2.3.4.0.5
  avanti/solaris7/32bits/2000.2.3.4.0.6
  avanti/solaris7/32bits/2000.2.3.4.0.7
  avanti/solaris7/32bits/2000.2.3.4.0.7.2
  avanti/solaris7/32bits/2000.2.patch4.preqa
  avanti/solaris7/32bits/99.2.3.3.0.8
  avanti/solaris7/32bits/99.2.3.3.0.EA9.2
  avanti/solaris7/32bits/99.2EA.22
  avanti/solaris7/32bits/99.4.3.3.0.13
  avanti/solaris7/64bits/2000.2.3.4.0.1
  avanti/solaris7/64bits/2000.2.3.4.0.2
  avanti/solaris7/64bits/2000.2.3.4.0.3.2
  avanti/solaris7/64bits/2000.2.3.4.0.4.1
  avanti/solaris7/64bits/2000.2.3.4.0.5
  avanti/solaris7/64bits/2000.2.3.4.0.6
  avanti/solaris7/64bits/2000.2.3.4.0.7
  avanti/solaris7/64bits/2000.2.3.4.0.7.2
  avanti/solaris7/64bits/2000.2.patch4.preqa
  avanti/solaris7/64bits/99.2.3.3.0.EA9.2
  avanti/solaris7/64bits/99.4.3.3.0.13
  avanti/solaris7/64bits/2000.2.3.4.0.1
  avanti/solaris7/64bits/2000.2.3.4.0.2
  avanti/solaris7/64bits/2000.2.3.4.0.3.2
  avanti/solaris7/64bits/2000.2.3.4.0.4.1
  avanti/solaris7/64bits/2000.2.3.4.0.5
  avanti/solaris7/64bits/2000.2.3.4.0.6
  avanti/solaris7/64bits/2000.2.3.4.0.7
  avanti/solaris7/64bits/2000.2.3.4.0.7.2
  avanti/solaris7/64bits/2000.2.patch4.preqa
  avanti/solaris7/64bits/99.2.3.3.0.EA9.2
  avanti/solaris7/64bits/99.4.3.3.0.13

For many designs you need to have different versions of Avanti to go through
the flow.  Synopsys is simply better at creating/maintaining/documenting EDA
tools, and IMHO with the addition of the deep-backend tools from Avanti,
they'll be rolling all over the competition in no time

         ----    ----    ----    ----    ----    ----   ----

From: "Christoforos Kozyrakis" <kozyraki@eecs.berkeley.edu>   

Anything that may improve the support system for Avanti tools (especially
the on-line support) is good news to me.  :-)

    - Chris Kozyrakis
      U. Cal, Berkeley

         ----    ----    ----    ----    ----    ----   ----

Hi John, keep me anon.

I am a customer of Avanti now.  I hate the culture of selling tools, giving
maintainance money for supporting the tools, but using this money for
developing a slight variation of the same tool (add -XT or -BT or -GT) and
selling it again.  These tools do cost a lot of money.  So if I am paying
maintainance, I expect the improvement to be transferred to the customer at
almost no cost.  So my point is, after the merger, I hope Synopsys won't take
similar development/marketing strategy.

Otherwise it is a good merger for developing a uniform flow.

         ----    ----    ----    ----    ----    ----   ----

From: Leo Butler <lbutler@brocade.com>

Hello, John.

Do I think it's good news or bad news?  I won't even venture a guess.  But
I'll take a stab at what I hope will happen in an area that needs some
work -- support.

Asking questions and getting useful answers has typically worked much better
with Synopsys than Avanti.  I'd like to see Avanti support work better.  It's
been awhile since I needed to ask questions of Avanti on a regular basis, but
the single point of contact (your AE) and the involvement of your salesperson
in many requests for assistance was a weak point.  With Synopsys, requests
for technical help are usually answered quickly via email with no need to
involve your AE or the salesperson in most cases.  Overall, I prefer this
support mechanism.

It will remain to be seen whether or not the Synopsys and Avanti tools can
be made to work together even better than they do today, yet remain friendly
(at least sufficiently so) to other vendors' tools.  A "grand unification"
into a single synthesis/physical implementaiton suite would be a step
backwards if third party point tools can't be inserted into the flow when
deemed necessary by customers.

    - Leo Butler
      Brocade

         ----    ----    ----    ----    ----    ----   ----

From: Lu Dai <ldai@cisco.com>

Overall bad news.  I felt Synopsys' customer service is getting worse as
its business gets better.  With the acquisition, Synopsys surpasses Cadence
as the No. 1 EDA company.  I'm afraid their customer service will go down
the drain.  On the other hand, it's good to see Avanti survives as an
alternative to Cadence tools.

    - Lu Dai
      Cisco Systems


( ESNUG 384 Item 5 ) -------------------------------------------- [12/06/01]

Subject: Chip Architect, Hidden Dragon, Cadence Buying Silicon Perspectives

From: "John Russo" <jrusso@infiniconsys.com>

This merger will be VERY good if Synopsys is smart enough to take the best
of their tools (PhysOpt) and merge it with the best of Avanti routing, etc.
This is easier said than done.  If Synopsys falls into a "not invented
here" mode and tries to push their upcoming flows instead, they will shoot
themselves in the foot.

Cadence raised the bar recently with the acquisition of Silicon Perspectives.
Now Synopsys can "one-up" them with the combo of PhysOpt and Apollo/Astro.
We are back to two heavyweights in the EDA market.  The people who should be
nervous are Magma and Monterey.

    - John Russo
      InfiniCon Systems

         ----    ----    ----    ----    ----    ----   ----

From: "Zachi Feldman" <zachif@dunenetworks.com>

Dear John,

The Synopsys buy out of Avanti will have three effects:

  - We may get better tools in the future that will help for chip timing
    closure.
  - EDA tools prices may go up as the number of players will go down.
  - Cadence will have to put much more energy in Ambit tools as they have
    closed the floor plan gap with Silicon Perspective buy BUT they don't
    have a leading synthesize tool.

Best Regards

    - Zachi Feldman
      Dune Networks                              Yakum, Israel

         ----    ----    ----    ----    ----    ----   ----

From: "Hui Fu" <Hui.Fu@infineon.com>

Hi John

The acquisition is a good strategic movement for Synopsys to complete it's
RTL2GDS flow (which is currently missing the clock tree and router parts.)
Synopsys can also gain backend expertise from Avanti.

As tool users, we can benefit from the Synopsys support for a "complete"
flow.  However, Synopsys still lacks a powerful floorplan/prototyping tool.
"Hidden Dragon" or "Chip Architect" still not on par with the SPC FE.

    - Hui Fu
      Infineon Technologies Asia Pacific

         ----    ----    ----    ----    ----    ----   ----

From: Manoo Desai <manoo.desai@mindspeed.com>

John,

I think that Synopsys buying Avanti is a good thing.  Synopys has a good
solution for synthesis and they've been trying to cross over to the backend
via Chip Architect and PhysOpt.  Lots of people are familiar with Design
Compiler and use it as part of their regular design cycle.  With the
addition of the Avanti tools, Synopsys will have a real layout solution.

Chip Architect cannot do global routing.  The clock tree synthesis is far
from useful right now.  Synopsys will be able to plug those holes and offer
a full solution to their customers.  Lots of Synopsys customers already use
Avanti tools.  Hopefully, the timing driven placement will be better
integrated now with the merger.

The only bad thing is that Synopsys could get bogged down with managing so
many tools and trying to keep a consistent design methodology.

The other advantage by acquiring Avanti is a better Formal verification
tool (if they decide to stay with Chrysalis).

    - Manoo Desai
      Mindspeed Technologies                     Westboro, MA


( ESNUG 384 Item 6 ) -------------------------------------------- [12/06/01]

Subject: Troubles Mixing Avanti Milkway Databases With Synopsys Databases

From: "Jon Stahl" <jstahl@avici.com>

Hi John,

I think it's obvious that Synopsys now owns the most ubiquitous and stable
set of ASIC tools in the industry.  Perhaps even the best in a number of
areas.

What isn't at all obvious (at least to me) is what they will do with them.
Meshing together the Avanti database and their own would be a huge task.
The styles are wildly different.  Take a look at how badly the Chip Architect
(IBM DB) and Synopsys DB integration has gone -- it's why there are so many
hierarchy issues with Chip Architect.

Furthermore, the Apollo tools have become dated in a number of ways.  And as
you have noticed -- there haven't been a lot of tape-outs (if any?) with the
new Astro stuff yet.

At Avici we have used Avanti for signal and clock routing, but also a number
of other best-in-class point tools in taping out our designs.  Power strap
and flip chip re-distribution routing has been done with LSI Logic internal
tools.  Simplex for power mesh analysis.  Moscape for crosstalk analysis.
Calibre for LVS/DRC.  We have used Formality because we own it - I have heard
that Verplex is better.  In the past we have had success with ClockWise.

Looking towards the future, we have planned to test many of the newly
available floorplanners, the Plato router, etc.  I am also hoping that
Cadence follows through and actually releases source code to the OpenAccess
database.  An open database and open APIs (like OLA) that seamlessly connect
best-in-class EDA tools are what tool users need to be most productive.

I don't care if the tools all come from the same company or not.

    - Jon Stahl
      Avici

         ----    ----    ----    ----    ----    ----   ----

Hi John,

Please do not publish my name.  I use tools from both vendors almost daily.

The Good News:

  1 The Milkyway Suite of tools will finally have serious technical help.
  2 The Milkyway Suite bugs will disappear.
  3 The back-end integration will be tighter.

The Bad News:

  1 We will probably have to pay a lot more for the Milkyway since
    Synopsys now owns tools for the the entire ASIC flow.

For me this is mixed news.

         ----    ----    ----    ----    ----    ----   ----

From: "Rafy Diaz" <rafyd@ti.com>

Hello John,

If Synopsys PhysOpt becomes part of the Avanti tools suit, and the tools
depart from the MilkyWay db, I see great hope as a TI end-user.

    - Rafy Diaz
      Texas Instruments

         ----    ----    ----    ----    ----    ----   ----

Pls keep me anonymous if any quote you'd like to use.

I hope SNPS and CDN will open their databases and define public (free and 
non-proprietary database and file formats) so that users still have freedom
to hack and get design done.  This is the only good thing to users since
SNPS can define the format itself w/o asking others "if SNPS is willing to
make it open/free to other venders".

I guess lots of talented developers will still leave and make better tools.

I should have kept my $3 AVNT stock longer.  :-(  And congrats to merge SNPS
and AVNT user groups.


( ESNUG 384 Item 7 ) -------------------------------------------- [12/06/01]

Subject: Mixing Synopsys & Avanti Cultures Are Like Mixing Oil & Water

From: Bruno Franzini <bruno.franzini@st.com>

John,

These companies won't merge easily: very different "company cultures".

    - Bruno Franzini
      STMicroelectronics

         ----    ----    ----    ----    ----    ----   ----

From: "Gregg Lahti" <gregg.lahti@corrent.com>

I think over the last 10 years engineers have realized that multiple tools
in a flow doesn't always work well -- usually with the "vendor A won't talk
nicely with vendor B" scenario.  Now the EDA industry is coming full circle
back to where vendors supply all tools in the back-end flow.

For the engineer in the back-end of the design flow, this deal has huge
potential of creating a decent, workable design flow for DSM.  Too many
vendors don't offer the complete solution to make it happen without multiple
vendors and multiple AE's trying to make it all work.  With the possibility
of getting a working detailed router combined with real polygon pushing
tools, Synopsys has a real shot in making a tool flow work well from RTL
through to layout.

The greatest risk for SNPS is the inherent beauracracy of consuming another
company with drastically different culture and the somewhat mitigated civil
lawsuit by their primary competition.  I think it'll take at least an order
or Moore's law magnitutde (18 months) before this merger will bear fruit
worth eating.

    - Gregg Lahti
      Corrent Corp.                              Tempe, AZ

         ----    ----    ----    ----    ----    ----   ----

From: "Klaus Vongher" <klaus.vongher@philips.com>     

Hi John,

Business-minded the merger is a good thing.  Both companies wanted to grow
at the expense of each other.  Avanti wanted to enter the synthesis scene
(Astro).  Synopsys entered the placement scene (PhysOpt).

It might even be good for the customer as PhysOpt is awfully priced.

So now you could have access to Avanti + Synopsys at a lower price.  Yet a
merger on such a scale is very ambitious.  Think only at the different
cultures in the two companies.

    - Klaus Vongehr
      Philips Semiconductors                     Munich, Germany


( ESNUG 384 Item 8 ) -------------------------------------------- [12/06/01]

Subject: How This Synopsys/Avanti Merger Affects Cadence/Magma/Monterey

From: "Kim Hansoo" <gohansoo@hanmail.net>

The most feared senario by Cadence has happened.  Cadence never thought
Synopsys would touch a company with a criminal record.  No doubt, this
merger will eliminate Cadence P&R business within 1 or 2 years unless
Cadence comes up with dramatically improved products.

    - Kim Hansoo

         ----    ----    ----    ----    ----    ----   ----

From: "Chao-lin Chiang" <chaolin_chiang@yahoo.com>            

Poor Cadence!

    - Chao-lin Chiang

         ----    ----    ----    ----    ----    ----   ----

Hi John,

Please keep me anonymous in this post.

To be honest, I have been expecting this for a while.  I always thought
Synopsys doesn't have a good P&R solution and Cadence had been monopolizing
that segment for approximately a decade and the entry of Avanti really made
a HUGE difference!  Since the time PKS and the similar Cadence "Integrated
Synthesis & PNR " flow had appeared, I was under an idea that it is high
time that Synopsys buys Avanti and come out with a BETTER product. 

(I just hope that would be the result.)

Given the market conditions and the civil case against Avanti, Synopsys has
found the BEST time for this acquisition.  It *may* impact the pricing of
Avanti suite and I don't know what will happen to some of the Avanti's
front-end like products (like NOVA RTL etc.) as Synopsys already bought LEDA
on similar front.

In a not-so-short time, I would expect a tightly coupled DC/Apollo solution
that would simply out play every one else in that market segment.  If that
happens, Cadence needs to fight real *hard* to keep itself up!

Definitely a very interesting merger - the best ever EDA WAR is ON!!

         ----    ----    ----    ----    ----    ----   ----

From: "Rajiv Mathur" <rajiv.mathur@intel.com>

I think it is a good thing for the design community, and a serious threat to
Cadence.  The hope is that the management picks out the best between Synopsys
and Avanti, and develops the tools to support the 30-80 nm generation
technologies in the next 5 years.

However reality may be quite the opposite.

    - Rajiv Mathur
      Intel

         ----    ----    ----    ----    ----    ----   ----

John,

Anonymously.  I can't comment on the effects, since I'm not close enough to
it.  However, I do have two questions that I'd like to see answered:

  Q1: What does this action really say about Synopsys' management own
      assessment of their strengths and weaknesses?  (Buying and merging is
      high-cost and fraught with risk.  You generally do it when you're
      convinced your own people aren't up to the job, or they can't do it
      in time.)

  Q2: Is this the best news Cadence has had in a long time?  (Given the EDA
      track record of corporate mergers, where the merger was much less than
      the sum of the parts.)

BTW: We're now an all-Cadence house.  I just had my first Ambit/PKS/SE
training.  Now it's time to see how the other half lives.  Synopsys sales
decided to drive us away, and for once, their sales drive succeeded...  :)

         ----    ----    ----    ----    ----    ----   ----

From: "Michael Zaslavsky" <michael.zaslavsky@intel.com>

It is a good thing, and a natural merge, since Synopsys has strong positions
in the front end, while Avanti is good in back end tools.

It also could be a smooth transition, because the people at Avanti differ
from those in the smaller companies, that Synopsys had not an easy time to
absorb.  Avanti guys are used to working in a big company.

In my experience, Synopsys has more aggressive sales forces, which can help
now to promote Avanti products as well.

Anyway, I am more curious now about the future of Cadence.

    - Michael Zaslavsky
      Intel Corp.

         ----    ----    ----    ----    ----    ----   ----

Hi John,

Here is my 2 cents.  Please keep my name anonymous.

Short term I believe it is good news for every ASIC designer, even Cadence
customers.

 1. For Synopsys and Avanti users, they probably have been combining their
    products for years.  This removes the worry on Avanti's future.  Avanti
    operates its business family style, giving personal care in terms of
    pre-sales and support.  For P&R products, all what I have seen is that
    they use the same AE(s) for pre-sales and support (probably backed by
    some specialists).  What you see during evaluation is what you are going
    to get later (WYSIWYG).  They try to sell their P&R tools by getting
    your engineers involved, not the other way around.  I doubt whether you
    get same from Cadence.

 2. Synopsys has very mature and proven synthesis technology.  Their SolvNet
    is also helpful (I only search the DC related part).  You see a lot of
    true user participation instead of vendor presentation at SNUG.
    Switching such investment is not easily acceptable.  Designers don't want
    to learn another tool with similar capability while having both hands
    busy.  A bunch of buggy translators for switching usually convinces the
    top level management but pisses off the users.  The only advantage
    Cadence used to take is that Avanti doesn't have synthesis, but lawsuit
    and Synopsys doesn't have CTS and Router (as long as you buy from both
    vendors, you have all.)  PKS tries to differentiate from this.  But PKS
    is not a seamless integration, PKS routing & extraction not running
    standalone.  (That means Ambit database, Wroute database, HLDS database,
    a new SPC database, plus pre-beta genesis database).  Even though they
    are integrated, how much additional value it provides?  I'd rather do
    one week scripting work on PhysOpt and Apollo/Astro so that I have
    confidence not only each pieces but also the integration and automation.
    What's more it saves your quarter million per seat (list price.)

 3. For the digital flow, Cadence has its advantages on "marketing", rather
    than "technology".   This put Cadence in a position that they have to
    focus on technology rather than marketing and sales or service.  Of
    course it can focus on lawsuit.  A civil lawsuit won't help you to
    improve your technology, perhaps the other party will do (like what
    happened before.)  Engineers care more about whether they can get the
    chip out easily with less hassles, let the jury and judge do their job.

 4. If Cadence focuses on the newly acquired First Encounter, by adding RTL
    analysis at front-end, re-structuring with IPO, and the extractor and
    router at the backend, it is going to be a productive flow.  End the
    life of PKS and then Cadence will maintain its competency.

Long term is not predictable.  Users may face the choice either totally go
with Cadence or Synopsys in the future.

         ----    ----    ----    ----    ----    ----   ----

From: "Eli Dagan" <elid@teracross.com>

Dear John,

Although I am a Cadence user, this is excellent news.

If these companies merge their tools well, PhysOpt+PT+Apollo+(all the best
features from each company such as Nova, DFT, etc) into one environment,
they will beat Cadence.  They will be the current best environment plus
tools in the market.

    - Eli Dagan
      TeraCross Ltd.

         ----    ----    ----    ----    ----    ----   ----

I think it is great news.  I believes it means that Cadence will have the
credible competition needed to make sure they stay honest in the P&R field.
I suspected Synopsys would win the battle of how to merge synthesis and P&R.
I was not sure exactly how they would make a complete offering.  I believe
this move answers that question.

My fears are:

 1) That the Avanti/Cadence feud may force Synopsys into not supporting
    Cadence P&R faster than they might otherwise have done.

 2) That Synopsys will be so full of themselves that they will price the
    PhysOpt-through-P&R flow even further outside the realm of the small
    customer (such as my fabless company.)

 3) That Cadence falters in their effort to provide Synopsys with credible
    competition in the new physical synthesis flow.   

Anonymous please.  Thanks for the valuable service you provide, especially
to those of us far from the jungle drums reverberating in Silicon Valley.

         ----    ----    ----    ----    ----    ----   ----

From: "Paul Miranda" <paul.miranda@amd.com>

It's good news for designers short-term (under 2 years out), since this will
hopefully mean a real, integrated RTL-to-GDS flow with the best quality.

Long term, this could be bad, since Synopsys will feel less need to improve
their toolset, unless a miracle happens and Cadence comes out with major
improvements.  Also, Synopsys will feel much less need to accommodate
"openness" in its flows to companies like Magma and Monterey.

    - Paul Miranda
      AMD

         ----    ----    ----    ----    ----    ----   ----

John,

Wow!  Synopsys and Avanti?  Huge problem for Cadence.  Combining Avanti's 
superior back-end tools with Synopsys' top-of-the-line synthesis tools, and 
Cadence is hosed.  If Cadence can't stop this one in court, either by 
blocking the merger or killing off the Avanti P&R tools, they are going to 
go through some tough times.  Of course, all of this depends on SyVanti's 
ability to execute on linking their two big tools -- not an easy feat and
one which Synopsys has no track record of achieving.

Plus Synopsys' sales people already have a bad attitude.  This won't help
one bit.  I'd short their stock.

Anonymous, obviously.

         ----    ----    ----    ----    ----    ----   ----

From: "Mark Wroblewski" <markwrob@colorado.cirrus.com>

John,

I think this is good news and bad news -- but mostly good news.

Based on historical data, Synopsys will likely do a good job integrating
the Avanti tools they decide to keep into their toolset framework, after
perhaps a false start or two.

Meanwhile, the Avanti user base should feel better knowing that the main
tools they have come to "know and love" will continue to be available.  I
haven't been following their financial situation closely, but surely all
the negative news about the Avanti top brass and the court case has cast
a huge pall on the long-term aspects for Avanti as a standalone corporation.

So it's good news for Synopsys users (who in many cases are also Avanti users
and should now benefit from better integration after a year or two) and for
Avanti users, too.  But who is it bad news for?

With Avanti in the Synopsys fold, there is more space for another independent
firm to make its mark on the EDA world.  I for one don't think it will be too
long before another EDA upstart makes its presence known.

I think it's also especially bad news for Cadence.  While their corporate
officers may simply shrug this off, I believe this merger hits them where
it hurts the most.  They've been struggling to get PKS production worthy,
trying to add synthesis to their place and route tools.  But their toolsets
and framework were always kind of ad hoc (some would say baling wire and
spit) and they've had predictable results.  Meanwhile, Synopsys looked
from their position of strength (synthesis) and forged a new approach based
on their strength and an astute analysis of the most basic problem in the 
backend flow.  The result is PhysOpt, and it is off to such a good start
that even many small firms are beginning to shell out the 4X DC price to
start working with it.  Now that Synopsys will add what many consider to be
best-in-class place and route to their toolset, Cadence must feel great
pressure.

As a Synopsys user for many years, I have never been too happy about the
pricetags attached to their products.  I and my managers have grudgingly
signed the paperwork necessary to keep using their tools because they were
the best at synthesis and became sign-off tools over the years.  I guess
I'm willing to cut them some slack on the Avanti deal because at least for
now I like the glimpses of their vision that I've gotten to see.

    - Mark Wroblewski
      Cirrus Logic                               Broomfield, CO

         ----    ----    ----    ----    ----    ----   ----

John,

If they settle the Avanti/Cadence lawsuit, that is overall a good thing
for the industry.  This has got to hurt Cadence, which is also a good
thing, since they haven't released or updated a good product in some time.
(Integration Ensemble comes out when?)

We really need to wait to see how product packaging shakes out after the
merger, but for the record, I want to hear 3 things from Synopsys/Avanti:

   1. Jupiter will be allowed to use PhysOpt and have access to
      DesignWare parts.

   2. Avanti's clock placement, clock router, and detailed router
      will be unbundled from Astro, so it doesn't cost me $1M for
      a routing tool.

   3. What database will the Avanti tools use?

If I hear the first two things, I'm a very happy camper.  If I don't hear
them, it diminishes the advantages of the merger.  Changing existing Avanti
products (Hercules, e.g.) to Synopsys licensing practices isn't going to
make the products any more attractive unless they find a way to add value.

Please make my comments anonymous.

         ----    ----    ----    ----    ----    ----   ----

From: "Michael Goodlett" <goodlett@dalsemi.com>

John,

The EDA landscape is going a similar direction as the PC landscape.  If you
can't beat'em, acquire'em.

    - Mike Goodlett
      Dallas Semiconductor                       Dallas, TX

         ----    ----    ----    ----    ----    ----   ----

From: "Yossi Rindner" <yossir@isdn.net.il>

Hi John

I believe it is a positive change.

Finally there is one company that owns good synthesis and good layout tools.
This is an opportunity for Synopsys to generate a good timing convergence
flow and save iteration time from many ASIC designers which I believe was a
burden for all.

I thinks that this new situation will drive Cadence for improving their PKS
and will generate a healthy competition between Synopsys and Cadence, from
which the ASIC designers will only benefit, price and performance wise.

    - Yossi Rindner
      ASIC Serve, Ltd.

         ----    ----    ----    ----    ----    ----   ----

From: Mike Schelling <mike.schelling@att.net>

Hi John,

Synopsys has been developing its next generation of backend tools with 
its Tier 1 customers.  With Avanti stung by Cadence and heavily dependent
upon Synopsys' synthesis suite as its frontend, Synopsys could have easily
buried them when they introduced their new product to the rest of its
customers.  But there is also much advantage to joining up with Avanti;
tapping into a ready staff of physical design specialists/AEs, as well as
being able to scoop the Avanti customers and IP.

I'm also generally pleased that in another sense, Synopsys and Avanti are
giving Cadence a good noogie.

    - Mike Schelling

         ----    ----    ----    ----    ----    ----   ----

From: "Robert Wiegand" <RWiegand@NxtWaveComm.com>

Hi John,

With the Cadence purchase of Silicon Perspective, Synopsys can't afford to
let Cadence buy their way into the power user realm any further.

In the short term, I think this is good news for Avanti users, since it
gives the tools a stable home.  If history is any indicator, however, it
could be bad news long term in the areas of overlap.

For example, Synopsys buys Motive and eventually kills it in favor of their
own STA tool, Primetime, even though Motive was once way ahead of Primetime.
I personally can't complain since I wasn't a Motive user, and I'm sure
Primetime benefited from the experience, but I bet there might have been a
few pissed off Motive users out there.  Another example, Synopsys buys
Sunrise and eventually kills it in favor of TetraMax.  TetraMax is better
for the experience, but I think there are some nifty features from Sunrise
like partial scan tradeoff analysis between area, pattern count, and coverage
that are not duplicated in Tetramax.  Doesn't Avanti own Chrysalis?  What do
you think will happen there?  For users of Avanti's backend tools, now might
be a good time to get some eval licenses for the Synopsys back end tools.

Let's look at the alternative -- what if Cadence bought Avanti?

Well, before Ambit was bought by Cadence, it was a very credible threat to
Design Compiler.  Synopsys responded with significant improvements in DC98.02
in features, runtime, QOR, and the quality if the release itself (there were
very few service pack revs.)  I was involved in the beta test, so I was an
early adopter.  I have a marketing poster for DC98 that has a quote from
Chris Malachowsky (right above mine) that says:  "...The combination of
improved runtime, better QOR, and minimized total slack makes DC'98 the most
significant Design Compiler release in recent memory."  I agree with him
whole heartedly.  DC 99 had 6 service pack revs for both the 05 and the 10
releases.  That's 14 releases in 1 year!  With the Ambit threat subdued by
Cadence, it would seem there was a little less effort put into the quality
of DC's releases.  Competition is a good thing, and the Ambit purchase
effecively reduced competition.  Silicon Perspective was rocking Chip
Architect's world, prompting the Hidden Dragon response.

I hate to say it, but this looks like a perfect setup for history to repeat
itself.  If Cadence had bought Avanti, it would reduce competition again.

My guess is that the Avanti backend tools will not develop much farther, the
Synopsys backend tools will absorb a good bit of the features, and Avanti
customers will get an offer they can't refuse on the transition to Synopsys
tools.

    - Bob Wiegand
      NxtWave Communications                     Langhorne, PA


( ESNUG 384 Item 9 ) -------------------------------------------- [12/06/01]

Subject: This Merger Is Generally A Good Match For Both Synopsy & Avanti

From: "Ricardo Rodriguez" <ricardor@bops.com>

Good.  Otherwise, Cadence would hose up the backend.

    - Ricardo Rodriguez
      BOPS, Inc.

         ----    ----    ----    ----    ----    ----   ----

From: eka@corp.cirrus.com (Eka Laiman)

Synopsys now has a complete set of abilities from synthesis to the back-end.
The only thing missing is the "cell layout" ability.

    - Eka Laiman
      Cirrus

         ----    ----    ----    ----    ----    ----   ----

John,

If you use these comments please don't use my name on this one.  There are
too many "I told you so" situations.

When my project had a decision on physical optimization there were two flows,
Magma (which our mgmt pushed) and PhysOpt (which was being discouraged.)  We
use Avanti for our standard back end flow.  Although I had to do some
manipulation of databases and write some Perl scripts to glue PhysOpt into
Avanti, the whole thing worked.  We ended up having a discrepancy of 0.1 nsec
on a 3.3 nsec clock in post-route timing, and this was always (ALWAYS) the
path that PhysOpt indicated would be a problem.  No surprises. 

So, why swim up stream?  For one, your tapeout surveys indicated that PhysOpt
was outpacing the competors.  If so many people were having success with the
tool, then it certainly must work.  I could see PhysOpt's placer was very
good even from the trial passes we did.  You also have to have very good,
leading edge routing and timing extraction tools, which Avanti has.

The way that I saw the flow:

   Synopsys: Generally the choice for synthesis and optimization, best
   algorithms available at the time.  Good placement engine in PhysOpt and
   sufficient control to accomplish most tasks. Text files in and out, so
   there was plenty of room for "adjustments".  All they were missing was
   the router and parasitic extraction. 

   Avanti: Even though they have had all of the publicly displayed legal
   problems, people still use their tools.  Sort of like that cousin that
   you have, the one that you wouldn't associate with except that he is the
   best at obtaining discount stereo equipment you've ever known.  (Not that
   I know someone like that, but, John, you probably do. :->)

   Everyone else: May have great, even superior backend (from gates to placed
   and routed gates) tools available, but they don't have the synthesis and
   the optimization engines and can't get them.

Synopsys now has the whole picture, from RTL-to-P&Red gates.  Each one of
these other players need to acquire the half that they were missing.
Synopsys was the only one that had a chance at this and they just pulled
it off.

A little long, but I've been justifying the use of PhysOpt to people for so
long at my company, and now what I told them would most likely happen has.
I did not predict it would be Avanti, just someone.

         ----    ----    ----    ----    ----    ----   ----

From: "Al Czamara" <czamara@zaiqtech.com>

Hi, John.

I think it's good news for Synopsys, as they are buying a market leader in
physical design -- a place where Synopsys has a weakness; it seems like a
good match for them.  Avanti is weak on front-end design, where Synopsys
has strength.

The downside is that there will be less competition, it seems.

    - Al Czamara
      Zaiq Technologies                          Marlboro, MA

         ----    ----    ----    ----    ----    ----   ----

From: Imon Kalyan Choudhury <imonkalchoudhury@yahoo.com>

Sure, John, this is great news.  I am a front end digital design engineer and
have been working with Synopsys for 2 years.  My back-end colleagues say
Avanti is a great tool to work with.  Under such circumstances, I feel the
industry mood is really upbeat.  Sure, this is good news for us all.

    - Imon Kalyan Choudhury
      U & I System Design Ltd.                   Bangalore, India

         ----    ----    ----    ----    ----    ----   ----

From: Wanhao Li <WLi@teralogic.tv>

John,

I am the current customer of Avanti backend tools.  I think it is good for
everybody except Cadence.  The customers will get both the assurance of
Avanti's survival and great integration between synthesis and physical
design/optimization.  I think both Synopsys and Avanti realized that they
couldn't make their tools work perfectly without some tight synergy and
integration.

I am also happy that my Apollo experience will not become obsolete.

    - Wanhao Li
      TeraLogic, Inc.

         ----    ----    ----    ----    ----    ----   ----

From: "Benjamin Mbouombouo" <benm@lsil.com>

Hi John,

This is a good news because they'll consolidate the front-end and back-end
(PhyOpt and a real backend design flow) and hopefully in the same database.
Till now, we did believe that Synopsys will address all these emerging UDSM
issues.  But now with Avanti, I guess this will be easier than before.

However, UDSM and design-for-manufacturability will really dictate the flow.
Avanti has lost a lot of good guys after the trial with Cadence.  Synopsys
needs a proper ramp up here.

Now the 2 poles in the ASIC EDA will be Synopsys and Cadence, with Synopsys
having with a better front-end background and Cadence with a better back-end.

    - Ben Mbouombouo
      LSI Logic, Inc.

         ----    ----    ----    ----    ----    ----   ----

Hi John,

Please make my name anonymous.

We at our company definitely think it will be advantageous for us (we are
design service company) mainly because we have invested a lot in Synopsys
tools, but were lagging behind in Avant tools, which some customers were
asking us to have.  So, this merger will take that pressure off for us.

         ----    ----    ----    ----    ----    ----   ----

From: "Michael Jenkins Brown" <michaeljb@us.ibm.com>

This is a bad thing and a good thing.

When an industry gets smaller, consumer choices are limited.  That may be a
bad thing.  "SynVanti" will have a lock on a large portion of the industry.
While I don't know what the estimated market share of the combined companies,
I can only guess that it will be significant.

However, collaboration between industry leaders may be a good thing.  I can
only imagine what will happen when the engineers at either company look at
the other's code and say, "Oh!  That's how you guys were doing that!".  As
a result of sharing data, IP, and trade secrets, the tools resulting from the
Synopsys/Avanti merger will probably be some of the best we have ever seen.

    - Michael Jenkins Brown
      IBM

         ----    ----    ----    ----    ----    ----   ----

From: "Dave Peeters" <dpeeters@gte.net>

I think this is great news.  I have always thought that whoever provides the
shortest path from RTL to GDSII will have the winning solution.  Seems like
a sure thing for Synopsys, IF they can integrate the Avanti folks.  (I'm not
sure how many key folks are left or will stick around) and link all of this
together...)

    - Dave Peeters

         ----    ----    ----    ----    ----    ----   ----

Of course this is anonymous.

Personally, I think it is bad news.  Synopsys & Avanti have both shown their
arrogance towards customers, through their pricing, practices (look at
their licensing agreements), tool flows, and the attitude of their sales
peoploids.  This will probably increase the cost of tools.  Probably decrease
interoperability.

On the upside (if there is one) and if they behave in a rational manner, we
may at last get a behavioral/HDL to GDSII design flow that does not make
people jump through hoops because of interface license issues and poorly
conceived data structures.

         ----    ----    ----    ----    ----    ----   ----

From: "Iyad Hadba" <iyad.hadba@mindspeed.com>

I think it is a step to help back-end process become more efficient and less
time consuming.  I hope integrated back-end tools will not take a long time
to develop.

    - Iyad Hadba
      MindSpeed Technologies                     Newport Beach, CA

         ----    ----    ----    ----    ----    ----   ----

From: "Santosh Boddula" <santosh.boddula@analog.com>            

It is good news.  Now we can expect more wide range of tools from Synopsys.

    - Santosh Boddula
      Analog Devices, Inc.

         ----    ----    ----    ----    ----    ----   ----

From: charles.lai@mindspeed.com

I think it is a very good move and going to benefit design community a lot.
This gives Synopsys a whole suites of "prefered backend tools".  If it can
quickly integrate seamless into the PhysOpt flow it will be a winner.  It
definitely will put a lot of pressures on the Cadence and others.

I have speculated that this would happen for a while after looking how
Synopsys took over the VCS from Viewlogic.

    - Charles Lai
      Mindspeed Technology, Inc.                 Westborough, MA


( ESNUG 384 Item 10 ) ------------------------------------------- [12/06/01]

Subject: Merger Proves How Piss Poor Synopsys Physical Design Tools Are

From: "Mike Dini" <mdini@dinigroup.com>

I don't get it at all.  $830M cannot be described as 'road kill' and Cadence
still has many legal remedies available to block Avanti products from the 
market.  Whatever Avanti has that is good, I can develop from scratch in 18 
months for a LOT less than $830M!

    - Mike Dini

         ----    ----    ----    ----    ----    ----   ----

Hi John,

Anon please.

We're moving away from both Synopsys and Avanti.  We have had very favorable
demos of both Ambit/PKS and Magma, but so far no complete tape-outs (just
re-runs of already-finished designs).  IMHO, both SNPS and Avanti are
companies that were once industry leaders, but have lost their edge.  It is
a sign of their weakness that they need to merge.

         ----    ----    ----    ----    ----    ----   ----

From: "Mark Garber" <mgarber@equipecom.com>

I own only the odd seat of H-Spice from either company.

On the whole, I view it as sign of just how unhealthy the industry of EDA
has become.  Instead of innovating?  Writing your own?  Actually doing R&D?
EDA companies merge and acquire.  This is a sign of continued stagnation
and lack of imagination and innovation.

In the long run, I believe it means there is just one less big EDA player to
acquire smaller innovators. 

    - Mark Garber
      Equipecom

         ----    ----    ----    ----    ----    ----   ----

John,

Please keep me anonymous on this.

I feel that Synopsys would have been better off purchasing Magma even though
it would have been at a higher price tag.  To date, Synopsys has not done
well in the physical realm:

  - Chip Architect was a failure
  - Route 66 was years late with questionable results
  - Clock tree synthesis hasn't been there until recently
  - PhysOpt fell short on all of my evaluations and Saturn produced better
    results

It is obvious that Synopsys needed some help in this area and went shopping
to get it.

As for Apollo/Saturn, this tool seems to be stagnant in terms of growth and
capability as the quality of results are not keeping up with the results we
have seen from Magma.  Magma is clearly a much more state of the art tool
and is producing results on designs that Saturn can not replicate.  

The end result is that Synopsys bought a shot in the arm and are back in the
physical race, but are currently two or three lengths behind. 

I'm curious as to how they will merge PhysOpt and Route66 with Apollo and
Saturn -- and what they will do with Chrysalis and Formality since both of
them are struggling to keep pace with Verplex.

In summary, the physical offering is back down to 2 real choices, the new
Synopsys/Avanti vs. Magma.  Yes, there are a few other small players and (of
course Cadence) but none of these are very real or viable choices for
building a fast, reliable chip in 0.13um and smaller technology.

         ----    ----    ----    ----    ----    ----   ----

Hi John,

I consider this bad news.

I hoped that Synopsys will come up with some good new engines for clocktree
builder and a router that can handle the next generation design problems.  In
my opinion Avanti has only technology that was state of the art 3 years ago
(even in Astro nothing is new).  So a big chance lost.  The Synopsys users
will have to struggle with the old limitations.

It shows that Synopsys is not a company for physical implementation.  Even
with all the effort they have put into this they did not manage to get a
working hierarchy planer, a clocktree generator nor a router out to the
market.  The future will show us if they learn from the Avanti people.

Synopsys has the tightest limitation on design size and some severe naming
problems.  Avanti has some severe problems in maintaining the Verilog
hierarchy in the optimization steps.  So the merger is for me resulting in
a product that is far behind Cadence and Magma current solutions.

Please keep me anonymous.

         ----    ----    ----    ----    ----    ----   ----

From: "Arvind Chopra" <arvind.chopra@philips.com>

I've always felt that Synopsys had better methodology, support, documenation,
and flow for their own developed tools compared to those they acquire.  (A
case in point is the Pathmill/Timemill vs PhysOpt.)  Instead I wish Synopsys
had continued work on their router and backend technology.

    - Arvind Chopra
      Philips Semiconductors

         ----    ----    ----    ----    ----    ----   ----

Anon please, John

Hmmm... my guess is that:

  1. Route Compiler is routeless.
  2. They needed to show growth, because their other products, except for
     physical, are not showing any.

These factors forced the purchase.

         ----    ----    ----    ----    ----    ----   ----

Hello John,

It is the first time I am writing you.  Could you please keep me anonymous.
Thanks!

I think it can be a good thing for Synopsys.  It will give them a global
router that was really a lack for PhysOpt.  We have been evaluating PhysOpt
for a year now and what we see is:

 1) Avanti gives a better utilization of the chip than PhysOpt.  For a given
    utilization and chip frequency, PhysOpt gives a placed netlist that is
    unroutable in Apollo.  It was not the case by feeding directly the
    netlist in Apollo.  And this is important since during these cost
    reduction times, we need to have the smallest die as possible!

 2) We never achieved better results with the RTL to placed gates flow.  We
    are always using PhysOpt gates to place gates.  And from a Synopsys AE,
    most of the customer are using that flow.  So I think that the actual
    Synopsys promotion of the RTL to placed gates flow is only marketing.

 3) Synopsys claims they can have a better estimation of the RC in 2001.08.
    But what's the advantage of having a precide RC if the routing is only
    a rough estimation?  This is again a marketing feature.

 4) Concerning the correlation between the frontend and the backend, we don't
    see a lot of difference between using PhysOpt and DC.  We are using
    "in-house" wireload models because most of the wireloads provided by
    foundries or libraries providers are much too optimistic.  So again, we
    don't see the advantage of bying such an expensive tool if we can achieve
    out timing and constraints with DC.

As you see, we are not in favor of PhysOpt which, we think, is really pushed
by the marketing department of Synopsys.  We hope that a lot of the issues we
actually have will be solved in the future thanks to their acquiring Avanti.


( ESNUG 384 Item 11 ) ------------------------------------------- [12/06/01]

Subject: So Synopsys And Avanti Are Merging?  Who The Hell Really Cares???

From: "Daniel Conaway" <Daniel.Conaway@tellabs.com>                 

More of the same variable-quality tools and service to come from the EDA
Big Three.  Big, fat, hairy deal.

My last VP of engineering used to say that, if you wanted to get rich in
the design tool world, all you had to do was start your own tool company, 
nnounce something DIFFERENT than what Mentor, Cadence, or Synopsys had
available -- and then WAIT for one of those three behemoths to come and
buy you.

    - Daniel Conaway
      Tellabs, Inc.

         ----    ----    ----    ----    ----    ----   ----

From: "Ed Scott" <escott@austin.rr.com>

Dear John,

Good, bad, or merely inevitable?  After the appetizer of C Level, taken
without even an interesting chase, a nice entree like Avanti merely raises
the question, "who's for dessert?"

    - Ed Scott
      Cynergy System Design                      Austin, TX


( ESNUG 384 Item 12 ) ------------------------------------------- [12/06/01]

Subject: All I Care About Is FPGAs -- And This Has Nothing To Do With FPGAs

From: "William E Lenihan III" <wlenihan@west.raytheon.com>

As an FPGA Designer (almost exclusively) it seems to mean nothing to me.
Synopsys FPGA Synthesis is so poor compared to the competition they are a
non-factor -- notwithstanding EE Times articles quoting Synopsys VP's about
their renewed commitment to FPGAs -- and I'm not aware of anything for
FPGAs from Avanti.

Model Tech, Synplicity, and the FPGA vendors are the companies on my watch
list.  And of those, Synplicity is the one I worry about, not because they
aren't doing well, but because they are a guppy in an EDA ocean of sharks.
If Model Tech and Exemplar got eaten up by a Great White (Mentor), then it's
only a matter of time before Synplicity gets bitten, too.

(BTW, I LOVE Synplicity's tools, that's why I'm so protective of them.
Synplicity' Synplify is my personal gold standard for EDA tool quality.)

    - Bill Lenihan
      Raytheon Systems Company


( ESNUG 384 Item 13 ) ------------------------------------------- [12/06/01]

Subject: Merging Companies Are Going To Be An 18 Month Customer Nightmare

From: philip.jackson@conexant.com

Being that our current flow is Synopsys + Avanti, I believe it is a good
thing as hopefully, Synopsys will be able to provide a complete physical
design solution.  I'm also a realist and expect it will take a couple of
years for them to integrate the two product lines.  The only thing I find
worrisome is the potential liability that Synopsys may assume due to
Avanti's misdeeds?

    - Philip Jackson
      Conexant Systems Inc.

         ----    ----    ----    ----    ----    ----   ----

My first reaction was:

 "Oh my ... I wonder how many major releases we'll have to suffer through
  until the 'merged' tools are actually usable again."

After having witnessed the horrible code that was Arcadia after they bought
EPIC and PrimeTime after they bought Motive from Quad Design.  True, both
products are "OK" (and good in the sense of PT now) but the "code merge"
does absolutely nothing in terms of quality of the tools -- except make it
go down.

Keep me anon.

         ----    ----    ----    ----    ----    ----   ----

From: "Ralph Zak" <zak@adaptivesilicon.com>     

Hi John,

This acquisition has the potential to be either real good for chip designers
or real bad.  It depends on how the acquisition is handled.  I have been in
seven EDA merger/acquisitions over the years (that I can quickly recall) and
have seen them done well and poorly.  (GE-Calma, Calma-CGIS, HHB-Simucad,
HHB-Cadnetix, Cadnetix-Daisy, Mentor-Silicon Compilers, Quickturn-PiE Design.)

Most of these mergers fail for two reasons.  The first is that sales channels
get consolidated and Acct Managers and Applications Engineers who know one
area -- either selling to engineers -- get asked to sell and support tools in
areas they are unfamiliar with.  Typically the new stuff to the acquirer gets
short-shrifted.  In this case, the area in danger is the Avanti tools if the
Synopsys people have to sell them and the expertise in the Field goes away.
This is particularly true for high-end tools that require lots of support.  A
spiral sets in.  Sales drop, resources get cut, needed products never get out.

The second reason they fail is product lines often never get rationalized.
This must be done quickly.  Within 90 days there must be a well communicated
roadmap.  Otherwise, customers don't know what to buy and what will happen
to their tools.  Everyone gets frozen.  No one wants to commit because the
investments and learning curves are so steep.  The EDA tool engineers don't
start drifting, and the customers don't know what to buy.

The best that can happen, which is expensive for the merged companies, is to
quickly decide on the best base of technology to replace both product lines.
Continue to support what is in the Field and to sell exisiting products to
all customers, but put a plan in place to introduce a new replacement product
to supersede all product lines for new sales within 12-15 months.

This parallel effort puts more pressure on the EDA development teams and
requires customers to be patient to hang onto what they have with just minor
improvements in the short term.  If this cannot be done, everything will
languish.  I've seen it done well, I've seen it done poorly. My two-cents.

    - Ralph Zak
      Adaptive Silicon, Inc.

         ----    ----    ----    ----    ----    ----   ----

John,

Please keep me anonymous.

I think it's a good thing for Synopsys because it gets them a router to
complete their physical synthesis flow.  I think it is a great thing for
Avanti because it instantly puts their tools in the hands of 90% of frontend
designers.

Synopsys' main task is to make floorplanning feel more like a synthesis tool
than a place & route tool in order to really successful.  Unfortunately,
Synopsys' track record for buying and integrating EDA tools are not very
good.

On the moral side, our society seems to love rewarding criminals.  Avanti
would not even be of significance had it not been for stolen code.  For
Synopsys to even consider the purchase of Avanti speaks volumes about their
own integrity.  But who cares?  There's money to made, right?

         ----    ----    ----    ----    ----    ----   ----

From: "Chandra Rao" <chandra@carmel-designs.com>

It will be nightmare Synopsys to manage it.  They haven't done a good job
in the past aquisitions.  Lots of overlap with products.  Probably not
sure which horse to ride for a while.

    - Chandra Rao
      Carmel Designs LLC

         ----    ----    ----    ----    ----    ----   ----

Bad news.  Keep me anonymous.


( ESNUG 384 Item 14 ) ------------------------------------------- [12/06/01]

Subject: Yes! The Synopsys-DC-To-Avanti-Apollo Flow Will Get Full Support!

From: "Andre Gaytan" <Andre.Gaytan@Sun.COM>

If they can solve the problem of the reducing the amount of time it takes
to go from start of synthesis to actual layout (with timing met), it will
be worth it.  

    - Andre Gaytan
      Sun Microsystems

         ----    ----    ----    ----    ----    ----   ----

From: "Noel St. John" <nstjohn@ti.com>

I think it is both good and bad news.  We'll probably get better integration
(and support) for Avanti products but the future products could be less
innovative as stand alone products.

I imagine after a year the Avanti portfolio of products and features will be
scaled back as assimilation occurs.

On the other hand the combined Synopsys/Avanti products and subsequent flow
could be quite compelling.

Regardless, if the deal goes through, the Synopsys/Avanti package and pricing
will be a powerful force for competitors to deal with.

    - Noel St. John
      Texas Instruments

         ----    ----    ----    ----    ----    ----   ----

From: "Pascal de Wit" <pascal@solidum.com>               

Hi John,

Below 0.18 um, we need to bridge synthesis, placement and routing for any
chance of success.  Why not "bridge" the two companies that create the stand
alone tools most of us use?  How does the saying go?  Divided we fall, united
we last a while longer?

    - Pascal de Wit
      Solidum Systems Corp.

         ----    ----    ----    ----    ----    ----   ----

From: "Erez Naory" <Erez.Naory@nsc.com>

Hi John,

This may increase the interoperability between their tools, but I am sure,
it will be much harder to integrate other tools into their flows.  I am
sure prices are going to go up!

    - Erez Naory
      National Semiconductor                     Hertzlia, Israel

         ----    ----    ----    ----    ----    ----   ----

From: Roberto Zafalon <roberto.zafalon@st.com>

John, 

This is sensational news.  As a user of both Synopsys and Avanti, I expect a
tighter and seamless integration into an all-in-one design flow.

    - Roberto Zafalon
      STMicroelectronics                         Agrate  Brianza, Italy

         ----    ----    ----    ----    ----    ----   ----

From: "Pat Hefferan" <pheffera@msai.mea.com>

Generally, good news.  It should stablize Avanti's legal situation somewhat
and provide a more formal avenue for integration of the two companies'
products, rather than leaving it up to the users.

    - Pat Hefferan
      Mitsubishi Semiconductor

         ----    ----    ----    ----    ----    ----   ----

From: Romas Rudis <rudis@intrinsix.com>

It's neither good nor bad:

  1) Synopsys will probably integrate their Physical Synthesis more tightly
     with Avanti's place/route,

But...

  2) Synopsys will still have to support Cadence's router.

So it's a NON-issue from a designer's point of view.  However from a business
viewpoint, they picked up a great company for a VERY cheap price.

    - Romas Rudis
      Intrinsix                                  Westboro, MA


( ESNUG 384 Item 15 ) ------------------------------------------- [12/06/01]

Subject: Hooray! This Means Cadence Lawyers Won't Kill Avanti Technology!

From: Sam Bishai <sbishai@cisco.com>

Hi John,

At the Boston SNUG there were at least two papers tackling in details how to
tie PhysOpt to Avanti.  I was surprised then at the effort required to deal
with Avanti rather than Cadence Silicon Ensemble.

If they can have a seamless Synopsys/Avanti integration then its a win-win
for a significant number of customers.  Synopsys can then put the effort to
a full flow through Avanti and maybe IBM Chipbench.

    - Sam Bishai     
      Cisco Systems                              Kanata, ON, Canada

         ----    ----    ----    ----    ----    ----   ----

Keep me anonymous please.

This is an excellent news.  Avanti technology would not go away just because
people wrongfully handle it.  Besides, the customer is always the beneficiary
from strong competition.

         ----    ----    ----    ----    ----    ----   ----

From: "Greg Kuchta" <gkuchta@lsil.com>

This is great news for companies using Avanti tools (us), and very bad for
Cadence.  Last I checked, the civil suit hasn't been settled - could Cadence
extract enough money to kill or delay this acquisition, or would this just
hurt Synopsys/Avanti?

I always thought this acquisition would occur, but -after- Cadence had
sucked the life from Avanti.

    - Greg Kuchta
      LSI Logic, Inc.

         ----    ----    ----    ----    ----    ----   ----

As always, please keep me anon.  The Avanti/Synopsys merger is a double
edged sword.

On the good side, each company has some strengths that the other does not.
Also, Avanti's technology will have a much better chance to survive
Cadence's civil law suit.

On the bad side, the two companies must merge their existing technologies
into a single, cohesive product line.  This takes time.  Unless they create
a crystal clear roadmap and have the leadership to drive it to fruition,
they will languish.  This effort can not truly begin until the merger is
approved and the FTC has not been known for it's promptness in these matters.
There is even a slim chance that it will not be approved.

From a technical perspective?  We'll have to see what the roadmap looks like
before we can judge this merger.

         ----    ----    ----    ----    ----    ----   ----

From: Greg Tamer <TamerG@tce.com>

In reviewing our tool flow recently, we decided to avoid Avanti because of
concerns about the civil litigation and the future of the company.  Looking
back on it though, the purchase of Avanti by Synopsys seems to be so
obvious.  It may take a few years to fully integrate the two sets of tools,
but I think it will be a great fit for everyone.

    - Greg Tamer
      Thomson Multimedia                         Indianapolis, IN


( ESNUG 384 Item 16 ) ------------------------------------------- [12/06/01]

Subject: Damn! This Means Synopsys Is Going To Kill Avanti's Smaller Tools!

From: "David Wheelock" <David_Wheelock@maxtor.com>

As a designer, it is probably a good thing.  Maybe we'll eventually have a
more seamless tool flow and PhysOpt will really be a physical tool.  Synopsys
can get the layout/router technology they have always wanted.

Any word on what will happen with the Avanti analog type tools?  Is Synopsys
going to retain and support them?  If not, this is a concern.

My other hat is as a manager controlling a tool budget.  I negotiated a
purchase of PhysOpt last year.  I attempted to get some leverage on my 
installed DC tool base (which cost a lot!) and I was told that "Synopsys
did not have that type of cost plan."  In other words, "Yes, you paid
a lot for DC, and, yes, you will pay a lot for PhysOpt".

    - David Wheelock
      Maxtor

         ----    ----    ----    ----    ----    ----   ----

From: "Jennifer Love-Pruitt" <Jennifer.Love-Pruitt@trw.com>

John,

As a user of some of Avanti's tools (like Saber) that aren't limited to the
chip design world, I'm very concerned.  I predict they'll do the same as
they did when they bought Viewlogic.  They'll assimilate the tools that they
want, kill the competing tools that they want out of their way, and barf out
the rest.  Woe to the users.

    - Jennifer Love-Pruitt
      TRW

         ----    ----    ----    ----    ----    ----   ----

John,

I think it is good news, it gives us one less VPA to negotiate.  ;)

Seriously, I think it is bad news for users of some Avanti products.
Synopsys has a history of taking the best and dumping the rest.  It is
not clear what would happen to the former Analogy group.  They have
some pretty good technology, but Synopsys has never been interested in
the mixed-signal design market -- it's too small.

I think we all knew something like this would happen.

please keep me anonymous.

         ----    ----    ----    ----    ----    ----   ----

From: Rod.Rebello@Microchip.com

John,

Generally, it's probably positive and will insure that at least the place 
and route related products will continue to be available and enhanced.

Avanti by themselves were on shaky ground with the legal costs including 
loss of personnel.

However, I am concerned about the future viability of other products such
as Saber and Star-MTB under Synopsys.  I'm also concerned about how Synopsys
will price the products.  Avanti tool pricing was generally excessive, but
you could always negotiate a good discount.

    - Rod Rebello
      Microchip Technology, Inc.


( ESNUG 384 Item 17 ) ------------------------------------------- [12/06/01]

Subject: Aart's Going To Get Sucked Into The Cadence/Avanti Legal Quagmire

Hi John,

Please keep me anonymous.

I'm a CAD support person, so keep that in mind.  I generally think it makes
sense for Synopsys.  They have made it clear that they want to be able to
handle the back-end design work in close conjunction with synthesis and SoC
designs.  It was just a matter of time until they either bought various tools
or created them in-house to fill this need.

Avanti tools generally are thought of highly by the design teams using them
and we were going to be using some of them on our next major project.  The
biggest issue is the potential legal problems that Avanti currently has with
Cadence.  As long as Synopsys does not get dragged into that, then the
acquisition should be OK, but that is a BIG IF.  As I understand it, most of
the legal problems are related to the older tools, so Synopsys could just
leave those alone until the newer ones can replace them and then just dump
the old ones.

         ----    ----    ----    ----    ----    ----   ----

This is a big gamble for Aart.  If he's betting on an agreement with Cadence,
he should have a fall back plan or suffer damaging losses.  He might be
forced to pay $1 billion to his main rival.  Anon please.


( ESNUG 384 Item 18 ) ------------------------------------------- [12/06/01]

Subject: Are All The Chrysalis Users Are Going To Be Forced Into Formality?

From: "Raimund Soenning" <raimund.soenning@philips.com>

Hello John,

Quite surprising move by Synopsys but also somehow logically.  I was already
thinking for a long time: if Synopsys wants to be succesful in the area of
physical synthesis they need more expertise in this area -- by either
developing their own stuff or by aquiring another company.  As everybody
seems to be cautious in buying Magma or even Monterey (or other startups)
and when looking at your tapeout surveys (most designs of them done with
Synopsys+Avanti) it is the most obvious thing to do so.  I think the products
out of this merger will be good ones and will be a big success.

I'm concerned that this new 'power flow' will not open up their database
format (Milkyway?) and will not develop the interfaces to other vendor's tool
on very low level - effectively preventing competition.

Last but not least I am interested to see what will happen to the overlapping
products (e.g. equivalence checking).  I am afraid a lot of them will be
dropped and I feel sorry for the teams behind these projects.

    - Raimund Soenning
      Philips Semiconductor                      Starnberg, Germany

         ----    ----    ----    ----    ----    ----   ----

Hi John,

Anonymous please.

I have questions about formal equivalence checking, which I use regularly
(we currently have both Chrysalis and Verplex).  Over the last year or so,
Avanti has put some effort into improving its Chrysalis equivalence checker
(presumably, to catch up with Verplex).  It has definitely improved in many
areas but is still playing catch-up.

Synopsys has now bought Avanti for its place and route tools.  What's it
going to do with the Chrysalis equivalence checker?  Will Chrysalis users
be given Formality seats?

On another point, Synopsys is always stressing the independence of Formality
from Design Compiler, to avoid "the fox guarding the chickens" label.  This
situation now appears to be worse.  Would you buy an equivalence checker
from a company that does BOTH your synthesis and place/route?  Sounds like
good news for Verplex to me.

         ----    ----    ----    ----    ----    ----   ----

From: "Fred Odendaal" <fred.odendaal@alcatel.com>                 

So, I guess we'll be trading in our Chrysalis seats for Formality seats now.
I wonder if they'll charge us for that...

    - Fred Odendaal
      Alcatel Canada


============================================================================
 Trying to figure out a Synopsys bug?  Want to hear how 11,000+ other users
    dealt with it?  Then join the E-Mail Synopsys Users Group (ESNUG)!
 
       !!!     "It's not a BUG,               jcooley@world.std.com
      /o o\  /  it's a FEATURE!"                 (508) 429-4357
     (  >  )
      \ - /     - John Cooley, EDA & ASIC Design Consultant in Synopsys,
      _] [_         Verilog, VHDL and numerous Design Methodologies.

      Holliston Poor Farm, P.O. Box 6222, Holliston, MA  01746-6222
    Legal Disclaimer: "As always, anything said here is only opinion."
 The complete, searchable ESNUG Archive Site is at http://www.DeepChip.com



 Sign up for the DeepChip newsletter.
Email
 Read what EDA tool users really think.


Feedback About Wiretaps ESNUGs SIGN UP! Downloads Trip Reports Advertise

"Relax. This is a discussion. Anything said here is just one engineer's opinion. Email in your dissenting letter and it'll be published, too."
This Web Site Is Modified Every 2-3 Days
Copyright 1991-2024 John Cooley.  All Rights Reserved.
| Contact John Cooley | Webmaster | Legal | Feedback Form |

   !!!     "It's not a BUG,
  /o o\  /  it's a FEATURE!"
 (  >  )
  \ - / 
  _] [_     (jcooley 1991)